CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - (7

搜索资源列表

  1. code

    0下载:
  2. (7,4)系统循环码的编译码,用GUI进行演示-Cyclic code encoding and decoding,demonstrate by GUI
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1277
    • 提供者:zheng
  1. 7

    0下载:
  2. 登录界面孩子,你还没明白编译器,那个东西不可靠,OBJ必须连接才能运行(即没有语法错误),编译、廉洁都是语法的部分,你一定是有语法错误,才导致这个现象。一定的,你把代码发给我,我帮你看看。考试一定扣分。 -The system interface modules including log in, the user management module, a policy of insurance management module, the log management module and
  3. 所属分类:Compiler program

    • 发布日期:2017-05-10
    • 文件大小:2182908
    • 提供者:aytilla
  1. matlabrumendaojingtong

    0下载:
  2. matlab(7.x系列)从入门到精通一书的源码 包括结果截图 实用性很强-Matlab (7.x series) from entry to master a book source, including the results of screenshots Very practical
  3. 所属分类:matlab

    • 发布日期:2017-06-10
    • 文件大小:17515299
    • 提供者:sun
  1. git-1.7.6.tar

    0下载:
  2. Git是用于Linux内核开发的版本控制工具,与常用的版本控制工具CVS, Subversion等不同,它采用了分布式版本库的方式,不必服务器端软件支持,使源代码的发布和交流极其方便。 Git的速度很快,这对于诸如Linux kernel这样的大项目来说自然很重要。 Git最为出色的是它的合并跟踪(merge tracing)能力。实际上内核开发团队决定开始开发和使用 Git来作为内核开发的版本控制系统的时候,世界开源社群的反对声音不少,最大的理由是 Git太艰涩难懂,从Git的内部工作机制来说
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-13
    • 文件大小:3389278
    • 提供者:woaichaozhou
  1. exp4

    0下载:
  2. 基于fpga的(7,4)循环码编码电路。vhdl代码-(7,4) cyclic code encoding based on fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:210044
    • 提供者:阮彦
  1. 978-7-302-17803-9

    0下载:
  2. c语言入门经典的所有源代码(每张的习题和课文中的代码)-C language introductory classic all the source code (each of problem sets and the text of the code)
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-16
    • 文件大小:184813
    • 提供者:yanyan
  1. practice-3.1

    0下载:
  2. 1. 编写一个程序algo3-1.cpp,实现顺序栈的各种基本运算,并在此基础上设计一个主程序完成如下功能: (1)初始化栈s; (2)判断栈s是否非空; (3)依次进栈元素a,b,c,d,e; (4)判断栈s是否非空; (5)输出栈长度; (6)输出从栈顶到栈底元素; (7)输出出栈序列; (8)判断栈s是否非空; (9)释放栈; -(1) Write a program algo3-1.cpp order stack basic arithmetic
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-22
    • 文件大小:779
    • 提供者:阿酱
  1. 7

    0下载:
  2. DirectX Lighting vs. Real World Lighting (DX光照 对 真实世界光照)-DirectX Lighting vs. Real World Lighting
  3. 所属分类:DirextX

    • 发布日期:2017-04-24
    • 文件大小:61028
    • 提供者:l
  1. cyclecoder_decoder

    0下载:
  2. (7,4)循环码的verilog编码程序,(7,4)循环码的verilog译码程序-(7,4) cyclic code Verilog coding procedures, (7,4) cyclic code the verilog decoding procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:605
    • 提供者:徐航
  1. On-line-examination-system

    0下载:
  2. 程序描述: (1)操作简单方便,界面简洁美观。 (2)具有实时性,已注册的用户无论身在何地,通过Internet游览器,都可登录网络考试系统。 (3)具有严肃性和公正性,系统提供的自动交卷功能使考试到结束时间时,系统自动交卷。 (4)提供考试时间倒计时功能,让考生随时了解考试剩余时间。 (5)系统自动阅卷,保证成绩真实,准确。 (6)考生可以随时查看考试成绩 (7)对考生注册信息进行管理 (8)系统运行稳定,安全可靠 运行环境: 网站开发环境:Mic
  3. 所属分类:.net

    • 发布日期:2017-05-10
    • 文件大小:2193431
    • 提供者:段君佩
  1. alogo2-1

    0下载:
  2. 一 ..编写一个程序alogo2-1.cpp,实现顺序表的各种基本运算,并在此基础上设计一个主程序完成如下功能: (1) 初始化顺序表L (2) 依次采用尾插法插入a,b,c,d,e元素; (3)输入顺序表L (4) 输出顺序表L长度; (5)判断顺序表是否为空; (6)输出顺序表的底3个元素; (7)输出元素a的位置; (8) 在第4个元素位置上插入f元素; (9)输出顺序表L (10)删除表的第3个元素; (11)输出顺序表; (12)释放
  3. 所属分类:Data structs

    • 发布日期:2017-03-25
    • 文件大小:1068
    • 提供者:冯书娇
  1. lorentzfit

    0下载:
  2. = lorentzfit (× ) lorentzfit 符合输入(× )与罗伦兹功能的形式 “ (× )= 1 / ((- 2)^ 2 + 3号) 输入 :因变量值[ 是] (× ) × :独立变量的值 输出功率 一:名单[ 1 2 3 ] 罗伦兹参数 示例 × = - 16:0.1:35 = 19.4 / ((- 7)^ 2 + 15.8)+ randn (大小(× )/ 10 ) = lorentzfit (× ) 杰瑞德·威尔斯
  3. 所属分类:Special Effects

    • 发布日期:2017-04-03
    • 文件大小:1536
    • 提供者:fu jiang
  1. mult-users-mult-dir-file-system

    0下载:
  2. 功能: 1.在内存中开辟一个虚拟磁盘空间作为文件存储器,在其上实现一个多用户多目录的文件系统。 2.文件物理结构可采用显式链接或其他方法。 3.磁盘空闲空间的管理可选择位示图或其他方法。如果采用位示图来管理文件存储空间,并采用显式链接分配方式,则可以将位示图合并到FAT中。 4.文件目录结构采用多用户多级目录结构,每个目录项包含文件名、物理地址、长度等信息,还可以通过目录项实现对文件的读和写的保护。目录组织方式可以不使用索引结点的方式,但使用索引结点,则难度系数为1.2。 5
  3. 所属分类:File Operate

    • 发布日期:2017-04-09
    • 文件大小:1401849
    • 提供者:longer
  1. Hamming-code

    0下载:
  2. 模拟产生(7,4)汉明码及其监督矩阵,并用产生的监督矩阵判断传输的码字是否有错,如果有错,指示出其出面错误的位置 -Simulated (7,4) Hamming code and its monitoring matrix, and supervision of the resulting matrix to judge transmission codeword is wrong, if wrong, indicating that it came forward to the wrong
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:1678
    • 提供者:konglong
  1. Hamming_QPSK_AWGN

    2下载:
  2. 仿真比较未经编码和进行(7,4)Hamming编码的QPSK调制通过AWGN信道后的误比特率性能。-Simulation without coding and (7,4) the Hamming-coded QPSK modulation in AWGN channel bit error rate performance.
  3. 所属分类:Communication-Mobile

    • 发布日期:2015-03-28
    • 文件大小:1024
    • 提供者:邹家畅
  1. dianzisuo

    0下载:
  2. 本设计中,电子密码锁使用STC89C52单片机控制,采用 C语言编写,通过Proteus软件仿真并进行了实物焊接。电子密码锁通过矩阵键盘进行密码输入,1602A液晶显示模块进行显示。其可实现的功能有:(1)通过切换,对输入的4位密码进行显示或隐藏;(2)通过发光二极管和蜂鸣器,对解密正确或者错误进行声光报警;(3)4位密码修改;(4)在密码遗失情况下,通过初始密码进行密码再设置;(5)采用AT24C02外部存储器,完成断电密码保护功能;(6)具有1-9次的解锁次数任意设定功能;(7)模拟密码箱
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-05
    • 文件大小:71818
    • 提供者:liuguangfeng
  1. 7-3

    0下载:
  2. 编写一个程序,计算 1 + 2 + 3 + 4 + … + N的累加和,并把累加和以十进制形式显示出来。(不能使用公式计算“累加和=N×(N+1)/2”,必须使用程序实现循环累加来计算)。-Write a program to calculate the 1++++ 4 ... the+ N accumulation, and the sum of the decimal form is displayed. (Can not use the formula " sum = N (N+1
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:768
    • 提供者:陈浩东
  1. htdocs

    0下载:
  2. php图书馆管理系统(包含程序和说明文档) 通过计算机对图书进行管理,不仅为图书馆的管理注入了新的生机,而且在运营过程节省了大量的人力、物力、财力和时间,提高图书馆的效率,还为图书馆在读者群中树立了一个全新的形象,为图书馆日后发展奠定一个良好的基础。 (1)输入管理员名称及密码,单击“确定”按钮,进入系统主界面 (2)在“系统设置”中设置管理员信息、图书馆信息、书架等。 (3)在“读者管理”中先设置读者类型,然后在读者档案管理中添加读者信息。 (
  3. 所属分类:Web Server

    • 发布日期:2017-03-29
    • 文件大小:283177
    • 提供者:yin qiang
  1. ebook

    1下载:
  2. 网上书城系统:前台购书系统、后台管理系统。(1)进入主页面。 (2)注册成会员。 (3)登录蝴蝶网上书店系统。 (4)搜索商品。 (5)选择商品到购物车。 (6)确认购买商品,进入结算中心。 (7)通过后台管理功能进行网站信息管理。 -Online bookstore system: textbook system and management system. (1) homepage. (2) registered. (3) log on online booksto
  3. 所属分类:.net

    • 发布日期:2017-03-31
    • 文件大小:985390
    • 提供者:noevel
  1. 8psk

    1下载:
  2. (1) 分析QPSK调制、解调原理,并画出其系统框图; (2) 产生等概率且相互独立的二进制序列,作为待传输的信号,并画出其波形; (3) 进行8PSK调制,分别画出I、Q两支路信号经过乘法器后的波形以及8PSK调制波形; (4) 产生均值为0,方差为1的加性高斯随机噪声,画出其时域波形及频谱; (5) 将加性高斯随机噪声作为传输信道的干扰,画出接收端信号的时域图; (6) 进行8PSK解调,输出解码序列,画出解调后信号波形; (7) 计算系统信噪比、传输误码率。-(1)
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:1874
    • 提供者:张涛
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com