CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - CRC-16

搜索资源列表

  1. RFC_1622_CRC16_m

    1下载:
  2. RFC1662 CRC-16 table generation and CRC checking. Implemented in embedded matlab with scr ipt to test and enable c/c++ code generation. Useful fo check against VHDL/Verilog and other embedded systems to help generate test vectors.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1132
    • 提供者:spaander
  1. 16CRC

    0下载:
  2. 16位CRC校验原理与算法分析,很详尽,学习CRC16的重要资料。-16-bit CRC checksum algorithm theory and analysis, very detailed and important information to learn CRC16.
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:17959
    • 提供者:shufan
  1. crc.c

    0下载:
  2. CRC source code for linux environment. CRC for 16 bytes packet. Useful for checking crc of tinyos uart packet.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:1082
    • 提供者:andus
  1. EX_CRC

    0下载:
  2. CRC校验源码,16位两种模式的CRC校验-EX_CRC
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:2620
    • 提供者:luanshuli
  1. 16CRC

    0下载:
  2. 用单片机实现16位CRC冗余校验的方法介绍-With MCU 16-bit CRC redundancy check method of introduction
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:95570
    • 提供者:wuxiliang
  1. CRC_V

    0下载:
  2. 实现CRC码的产生 校验,有crc-8 crc-16 crc-32-cdc chansheng he jiaoyan
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:28914
    • 提供者:goodyeday
  1. CRC-16

    0下载:
  2. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:20006
    • 提供者:wangxiaoya
  1. testcrc

    1下载:
  2. 计算CRC16/CRC8/CRC32的程序,可获得CRC-CCITT/CRC-16/CRC-8/CRC-32的计算结果。 提供Delphi源代码和MCS51单片机的汇编源代码,分别采用两至三种不同算法实现。有比较详细的调用和使用说明-Calculation CRC16/CRC8/CRC32 procedures, available CRC-CCITT/CRC-16/CRC-8/CRC-32 calculations. Delphi source code and provides a comp
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-26
    • 文件大小:137540
    • 提供者:guibin
  1. MyCRC16

    0下载:
  2. visual basic 的CRC-ITU算法程序,用查表法实现,crc多项式为x^16 + x^15 + x^2 +1-visual basic procedures of the CRC-ITU algorithm, using look-up table method to achieve, crc polynomial x ^ 16+ x ^ 15+ x ^ 2+1
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-05
    • 文件大小:1561
    • 提供者:汪柏
  1. crc

    1下载:
  2. 添加不同校验比特个数下的CRC校验,包括8,16,24个校验比特-Different number of parity bits added under the CRC checksum, parity bits, including 8,16,24 months
  3. 所属分类:Other systems

    • 发布日期:2015-04-14
    • 文件大小:2387
    • 提供者:朱敏
  1. PCK_CRC16_D1

    0下载:
  2. CRC源代码,VHDL文件,可供参考,16位的-CRC source code, VHDL files, for reference, 16-bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:829
    • 提供者:ly
  1. crc-ccitt

    0下载:
  2. 所属分类:Other windows programs

    • 发布日期:2017-03-27
    • 文件大小:566
    • 提供者:zhangshcong
  1. Example-CRC_Generation

    0下载:
  2. CRC Generation example for PIC microcontroller using languaje C
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:25415
    • 提供者:Giancarlo
  1. CRC

    0下载:
  2. Cyclic redundancy check code (16-bit) Very good code verified code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:151076
    • 提供者:veerender
  1. CRC

    0下载:
  2. 一個CRC-12計算的串入式電路並下載至FPGA電路板-FPGA CRC-16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:563
    • 提供者:TAE
  1. labview-Modbus-CRC

    1下载:
  2. 16位CRC校验算法,作为子VI可直接调用-16-bit CRC checksum algorithm, can be directly invoked as a sub-VI
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:5908
    • 提供者:zz
  1. CRC-16

    0下载:
  2. 16位CRC校验原理与算法分析,不讨论CRC的纠错原理以及为什么要选下面提及的生成多项式,只是针对以下的生成多项式,如何获得CRC校验码,作一个比较详细的说明。-16-bit CRC checksum algorithm theory and analysis, not to discuss the principles of the CRC error correction, and why to choose the generator polynomial mentioned below,
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:2359
    • 提供者:李楠
  1. Perl_for_CRC

    0下载:
  2. Cyclic Redundancy Check (CRC) is an error-checking code that is widely used in data communication systems and other serial data transmission systems. CRC is based on polynomial manipulations using modulo arithmetic. Some of the common Cyclic Redu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:89718
    • 提供者:尤恺元
  1. crc

    0下载:
  2. 用汇编语言实现的CRC-8和CRC-16的算法包 -Calculate CRC-8 & CRC-16 Values
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:5962
    • 提供者:zentoku
  1. CRC.C

    0下载:
  2. 下面以最常用的CRC-16为例来说明其生成过程。   CRC-16码由两个字节构成,在开始时CRC寄存器的每一位都预置为1,然后把CRC寄存器与8-bit的数据进行异或(异或:二进制运算 相同为0,不同为1;0^0=0 0^1=1 1^0=1 1^1=0),   之后对CRC寄存器从高到低进行移位,在最高位(MSB)的位置补零,而最低位(LSB,移位后已经被移出CRC寄存器)如果为1,则把寄存器与预定义的多项式码进行异或,否则如果LSB为零,则无需进行异或。重复上述的由高至低的移位8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11311
    • 提供者:malimin
« 1 2 3 45 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com