CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - DISPLAY

搜索资源列表

  1. Movie

    0下载:
  2. Makes a simple avi movie from a sequence of frames The user can control the display time of each frame. The movie is created in the same folder where this function is run. Usage: Inputs: name: root name of the framse filetype: .bmp , .j
  3. 所属分类:matlab

    • 发布日期:2017-03-24
    • 文件大小:46406
    • 提供者:sunda
  1. LEDdapingmuxianshixitong

    0下载:
  2. 用AVR单片机做的LED大屏幕显示系统,运行良好-AVR microcontroller to do with the large screen LED display system, running well
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:107765
    • 提供者:李鹏
  1. Windows_driver_development(details)

    0下载:
  2. 有深入浅讲述Windows驱动开发,内容很好,非原创,感谢原作者 驱动开发之一 --- 创建一个简单的设备驱动 驱动开发之二 --- 输入输出控制 驱动开发之三 --- IPC 驱动开发之四 --- 过滤驱动 驱动开发之五 --- TDI 驱动开发之六 --- 一个简单的显示驱动-Shallow in depth about the Windows-driven development, content very good, non-original, thanks---
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-30
    • 文件大小:210318
    • 提供者:wlf
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:234240
    • 提供者:QQ
  1. Ffmpeg H264 Decoder Lib

    3下载:
  2. 本解码库以ffmpeg为参考模型,针对不同档次的H.264码流进行了修正,解码核心部分进行了相应的MMX优化。 整个解码过程分3个步骤进行,只需要按顺序调用头文件里描述的初始化、处理、释放这三个函数即可。 解码得到的图像数据为YUV,该工程将YUV转换为RGB,直接显示,省去了解码后,还需要借助YUVViewer等工具打开YUV文件的步骤,更加的方便快捷。 支持各个档次的H.264码流解码,将H.264码流放在H264Test目录下即可。 编译平台:VC6.0,VC200
  3. 所属分类:流媒体/Mpeg4

    • 发布日期:2013-03-06
    • 文件大小:6300236
    • 提供者:致胜织梦
  1. Clock

    0下载:
  2. --1.实体和函数的定义 --2.自动计时部分 --3.设置调时时的四种状态:a.不调时,b.调时位,c.调分位,d.调秒位 --4.设置闪烁的位置,调哪部分,哪部分闪烁 --5.将该闪烁的部分执行闪烁命令 --6.调时间,小时,分钟,秒的调时进程 --7.用元件BCD把小时位,分钟位和秒位三部分连接在一起 --8.设置时区***该部分为选做,程序中已经注释掉*** --9.设置闹铃***该部分为选做,程序中暂时没写*** --10.设置7段数码管的显示位
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:3718
    • 提供者:wvqyd
  1. RGBLED

    0下载:
  2. RGB灯,彩色显示,可以设置状态,是虚拟仪器程序设计的必要元素,与大家分享-RGB light, color display, you can set the state, is the virtual instrument programming the necessary elements to share with you
  3. 所属分类:GUI Develop

    • 发布日期:2017-03-29
    • 文件大小:42502
    • 提供者:xcs
  1. display

    0下载:
  2. 该软件能动态实时的显示曲线,采用c++builder编写-It can display lines on time.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:493659
    • 提供者:彭树萍
  1. display-in-two-channel

    0下载:
  2. labview-display in tow channel
  3. 所属分类:Special Effects

    • 发布日期:2017-04-08
    • 文件大小:32170
    • 提供者:sherlene
  1. UART

    0下载:
  2. A simple preoteus based design to display the characters typed int the keyboard into LCD using UART of 8051.Plz make sure that TTL to RS232 is inserted in between the microcontroller and virtual terminal which is not shown in the design.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:45537
    • 提供者:sandeep
  1. vga

    0下载:
  2. a code to display in VGA using VHDL lang
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1124
    • 提供者:yousif
  1. DISPLAY

    0下载:
  2. s3c2450显示部分源代码,包括2d加速部分,十分珍贵,是wince驱动开发必备资源。-s3c2450 display driver
  3. 所属分类:Windows Mobile

    • 发布日期:2017-05-13
    • 文件大小:3126479
    • 提供者:Kary
  1. display system time

    1下载:
  2. 汇编语言课程设计,课程题目:显示系统时间。该程序实现的功能有:动态时间显示 闹铃 设置音乐铃声 字体背景颜色的变换-Assembly language curriculum design, course title: Display system time. Implementation of the program features are: dynamic time display Music alarm to set the background color of the font cha
  3. 所属分类:汇编语言

    • 发布日期:2013-06-02
    • 文件大小:2895
    • 提供者:刘凯
  1. adc89c52-PCB

    0下载:
  2. 简易数字电压表可以测量0~5CV的8路输入电压值,并在4位LED数码管上轮流显示或单路选择显示。测量最小分辨率为0.019V,测量误差约为0.02V。 -Simple digital voltage meter can measure 0 ~ 5CV 8-way input voltage value, and the four LED digital tube display or one-way rotating choose to display. Measuring a minim
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:697388
    • 提供者:任星
  1. design-of-color-LCD-display-system-Under-the-S3C24

    0下载:
  2. S3C2440A下彩色液晶显示系统的设计,以三星公司的嵌入式微处理器S3C2440A和夏普公司3.5inLCD屏LQ035Q7DH01为基础,设计了显示硬件电路,介绍了帧缓冲设备的处理机制及底层驱动的接口函数,针对本显示系统给出了如何开发其Linux帧缓冲设备驱动程序。不论是显示硬件电路还是软件驱动程序,都有很强的可移植性,可以方便地移植到不同的平台。-S3C2440A under the color LCD display system designed to Samsung' s S3
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:270318
    • 提供者:cen
  1. Matrix

    0下载:
  2. 4 character dot matrix moving message display sample AVR Tiny2313 proteus VSM simulation schematic diagram.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:14827
    • 提供者:akmyo
  1. SkyAudioMeter

    1下载:
  2. 音频波形及频谱显示控The audio waveform and spectrum display control-The audio waveform and spectrum display control
  3. 所属分类:Audio program

    • 发布日期:2014-09-18
    • 文件大小:196680
    • 提供者:zxq
  1. doublechinese16X16LEDdianzhenping

    0下载:
  2. 一款基于AT89C51单片机的双汉字16X16 LED点阵广告屏-A two-character based on AT89C51 microcontroller 16X16 LED dot matrix display ads
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:2585938
    • 提供者:陈小呈
  1. 8051_7seg.c

    0下载:
  2. 8051 to display numbers on 7 segment LEDs.
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1113
    • 提供者:jp
  1. Seven-segment-display-decoder

    0下载:
  2. 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal numbe
  3. 所属分类:Compiler program

    • 发布日期:2017-03-22
    • 文件大小:3148
    • 提供者:jlz
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »
搜珍网 www.dssz.com