CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FPGA

搜索资源列表

  1. FPGA应用开发入门与典型实例_源代码

    2下载:
  2. FPGA应用开发入门与典型实例源代码,非常适合刚开始学习fpga的学生(FPGA application development portal and typical instance source code)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:52380672
    • 提供者:大笨熊
  1. CY7C68013固件程序 FPGA测试Verilog程序

    0下载:
  2. CY7C68013固件程序 FPGA测试Verilog程序(CY7C68013 firmware, FPGA test, Verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:198656
    • 提供者:regan_wang
  1. 彩超机FPGA部分代码

    2下载:
  2. 某公司彩超机实际使用的FPGA代码,主要是B超的前置处理,波束形成滤波等。请注意:文件不是完整的工程,只放出一些实际可用的功能模块的代码。部分无用文件没删除,自己辨识。(A company color Doppler ultrasound machine actually use FPGA code, mainly B ultrasonic pretreatment, beam forming, filtering, etc.. Please note that the file is not
  3. 所属分类:图形图像处理

    • 发布日期:2017-12-20
    • 文件大小:3189760
    • 提供者:不读书生
  1. EEPROM接口的FPGA实现

    0下载:
  2. EEPROM接口的FPGA实现 工程说明 AT93C46在DI接收到读指令时,地址被解码,数据在DO引脚上串行输出。写周期是完全自主调时的,在写入之前不需要单独的擦除周期。本项目要求AT93C46完成读和写功能的混合功能。 案例补充说明 本案例要求实现一个AT93C46的接口能够根据命令,实现EWEN、WRITE和READ功能,在这里我们提供了具体的设计思路: 1. 上游模块在rdy=1时,给出start命令,开始进行EWEN、WRITE或者READ操作;在rdy=0期间,star
  3. 所属分类:其他

  1. FPGA-CNN-master

    6下载:
  2. fpga硬件实现cnn代码,学习可用。了解基本的深度学习概念和实现方法(FPGA hardware implementation of the code, used for learning)
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:14841856
    • 提供者:花生米123
  1. stm32开发板FSMC读写FPGA

    1下载:
  2. STM32通过fsmc总线与FPGA通信(stm32 communication with FPGA by FSMC bus)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-27
    • 文件大小:4974592
    • 提供者:stone_wg
  1. FPGA实现SPI的程序实例

    0下载:
  2. 基于verilog的FPGA spi通信协议,sck.(FPGA spi communication protocol based on verilog, SCK.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:553984
    • 提供者:巴拉望
  1. FPGA那些事儿--TimeQuest静态时序分析REV7.0

    0下载:
  2. FPGA 静态时序分析 TimerQuest(FPGA static timing analysis TimerQuest)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:8798208
    • 提供者:lemonIU
  1. Xilinx-FPGA-PCIE-Linux驱动程序

    0下载:
  2. Xilinx-FPGA-PCIE-Linux驱动程序.rar(Xilinx-FPGA-PCIE-Linux.rar)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:35840
    • 提供者:anthor
  1. 基于FPGA的等精度频率计的设计

    0下载:
  2. 基于FPGA的频率计,采用的方法为等精度。(Frequency meter based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:271360
    • 提供者:juese
  1. DSP读写基于FPGA的FIFO

    0下载:
  2. 本文档提供了DSP对FPGA中的FIFO的读写时序以及编程思路,供大家参考。(This document provides DSP on the FPGA FIFO read and write timing and programming ideas for your reference.)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:987136
    • 提供者:wangxiaobei
  1. FPGA full advanced

    0下载:
  2. FPGA全程进阶-实战实练,实用的FPGA学习资料。(Practical document of FPGA learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:12321792
    • 提供者:ts_ear
  1. FPGA开发工具使用

    0下载:
  2. FPGA开发工具使用,介绍quartus ii 软件的安装与使用,具体的操作步骤详细阐述(FPGA development tools, This paper introduces the installation and use of Quartus II software, and expounds the detailed operation procedures)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:2912256
    • 提供者:春夏秋冬
  1. fpga

    2下载:
  2. 利用verilog语言实现fpga双口RAM通信代码,PID算法控制电机速度代码,相关仿真测试程序
  3. 所属分类:VHDL编程

  1. fpga

    1下载:
  2. pid算法控制电机运动,实现fpga与dsp的双口RAM通信(PID algorithm to control motor movement, the realization of FPGA and DSP dual port RAM communication)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:13411328
    • 提供者:峰语
  1. FPGA开发实战手册 V1.1

    0下载:
  2. 介绍了fpga的开发流程,对实际案例进行源码剖析,清楚易学(Introduced the FPGA development process, carries on the source code analysis to the actual case, clear is easy to learn)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:16602112
    • 提供者:大众
  1. 用FPGA实现UART

    0下载:
  2. 用fpga实现异步串行通信。通过串口助手接收与发送(Implementation of serial communication with FPGA)
  3. 所属分类:串口编程

    • 发布日期:2017-12-21
    • 文件大小:664576
    • 提供者:大武
  1. XILINX FPGA Verilog编程大全LX9

    0下载:
  2. Xilinx fpga FPGA LX9 编程大全(Xilinx FPGA FPGA LX9 programming Daquan)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:16410624
    • 提供者:huhotto
  1. altera innovateasia(像读小说一样学习FPGA)

    0下载:
  2. 像读小说一样学习FPGA 建议有基础的同学看(Like reading novels, learning FPGA suggests basic classmates to read)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:3068928
    • 提供者:JONI
  1. 深入浅出玩转FPGA+实验代码

    0下载:
  2. 吴厚航--深入浅出玩转FPGA书籍源代码(Wu Houhang - simple fun FPGA books source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:33806336
    • 提供者:liushuifong
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com