CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Frequency Counter vhdl

搜索资源列表

  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. 分频器FENPIN1

    0下载:
  2. EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time - with a counter by the external input is required when the sub-frequency functions. Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3131
    • 提供者:李培
  1. pinglvji

    0下载:
  2. 做的等精度频率计,采用等精度测量原理,即利用双计数器“相关计数”和“硬件同步分频”实现高低频率的等精度的测量。用FPGA实现频率测量、周期测量、时间间隔测量、相位测量及脉冲宽度的测量。所有的测量功能都由VHDL语言编程实现。-I do other precision frequency meter, use and other precision measuring principle, namely the use of dual-counter " related counts&qu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:51136
    • 提供者:yangqiuyue
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Using traditional methods of desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:173768
    • 提供者:邱颖
  1. m8

    0下载:
  2. 这是一个8分频的VHDL语言设计程序,也可以看成是8进制计数器-This is an 8-frequency design process of the VHDL language can also be seen as a hexadecimal counter 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:44865
    • 提供者:yigezi
  1. experiment6

    0下载:
  2. VHDL课程实验6,数控分频器的设计。对应不同的输入信号,预置数(初始计数值)设定不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器的状态全为1时,计数器输出溢出信号。用计数器的溢出信号作为输出信号或输出信号的控制值,使输出信号的频率受控于输入的预置数-VHDL course experiment 6, NC Divider. Corresponding to different input signals, the set value (initial count) to set
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:190176
    • 提供者:童长威
  1. vhdlcodes

    0下载:
  2. vhdl的常用代码,包括存储器,计数器,分频等常用程序代码。-for vhdl, including useful codes such as counter, frequency division, etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:169131
    • 提供者:laurie
  1. 2010011022

    0下载:
  2. 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。   频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:611328
    • 提供者:程琳
  1. 002

    0下载:
  2. VHDL语言 用计数器实现分频 N频分频器-VHDL language divide by N counter frequency divider to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3216
    • 提供者:xiaojing
  1. nec0903

    0下载:
  2. 全国电子竞赛程序,可VHDL写的,有频率计、1602等相关模块-National Electronic race program, written in VHDL can have frequency counter, 1602 and other related modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:685130
    • 提供者:李小平
  1. digital6counter_top

    0下载:
  2. 文件描述的是VHDL语言实现的16位计数器,可用于实现时钟的分频或中断控制-Document describes the VHDL language to achieve 16-bit counter can be used to achieve clock frequency or interrupt control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1436
    • 提供者:杨伟军
  1. fre

    0下载:
  2. 频率计的VHDL代码,实验课验证过的,能测量0-99999999hz的频率,并且超过上下限会报警。-Frequency counter in VHDL code, lab verified, can measure 0-99999999hz frequency, and over the limit will alarm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:10337
    • 提供者:mountain
  1. VHDL-the-count

    0下载:
  2. 利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数-Use of VHDL hardware descr iption language design a 0 ~ 9999 addition counter. According to a certain frequency of the trigger The clock, counter add count, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:648225
    • 提供者:sunhuiping
  1. VHDLBasicExperimentSJTU

    0下载:
  2. 上海交大几个基础VHDL 实验的代码,包括分频器,计数器,七段计数器,状态机,锁存器等-Shanghai Jiaotong University and a few experiments of basic VHDL code, including the frequency divider, timer, seven segment counter, state machines, latches, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:864836
    • 提供者:魏玉萍
  1. adding-counter-

    0下载:
  2. 基于VHDL语言实现的 4位十进制频率计的设计及其仿真-Based on VHDL language implementation of four decimal frequency meter design and its simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34937
    • 提供者:刘海
  1. pinlvji_LCD1602

    0下载:
  2. 一个完整的已经过测量和验证的VHDL程序,测量范围从1Hz到1GHz的频率计,也可以当做计数器,通过LCD1602显示频率值,四路独立按键可以控制输出不同的频率值、控制对应的独立LED亮灭、控制蜂鸣器发声。输入的晶振频率是25MHz,不符合请自行在倍频器中更改参数。-Has been a complete VHDL program measurement and verification, measurement range from 1Hz to 1GHz frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1100475
    • 提供者:lcl
  1. shuzixitongn

    0下载:
  2. VHDL代码 计数器 完成一分钟内的计数,到59清零,提供4个频率选择-VHDL code counter finished within one minute count to 59 cleared, 4 frequency selection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:681
    • 提供者:ArthurZhang
  1. VHDL-counter

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。 下面我们介绍分频器的VHDL描述,在源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。 -In digital circuits, and often need high frequency clock divider operating in lower frequency clock signal. We know that when the c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:85509
    • 提供者:zhanghua
  1. piano

    0下载:
  2. 电子琴 原创 作业 VHDL 采用计数器分频,内含简单儿歌数首,爱迪克EDA实验箱,有数码管与LED显示,采用键盘式输出,两行,中音高音。(Electronic piano original work VHDL, using counter frequency division, contains a few simple nursery rhyme, Edik EDA experimental box, there are digital tube and LED display, usin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1101824
    • 提供者:qengleikangjen
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 1 2 34 »
搜珍网 www.dssz.com