CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - IEEE

搜索资源列表

  1. h.264搜索算法相关IEEE论文

    0下载:
  2. 介绍h.264搜索算法的IEEE论文20篇-recommend 20 books of discourse h.264 grabble arithmetic IEEE.
  3. 所属分类:流媒体/Mpeg4

    • 发布日期:2008-10-13
    • 文件大小:5428647
    • 提供者:马骏
  1. 1076 IEEE Standard VHDL Language Reference Manual.

    0下载:
  2. 1076-2002 IEEE Standard VHDL Language Reference Manual-1076-2002 IEEE Standard VHDL Language Ref validated Manual
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:867086
    • 提供者:巫涛
  1. IEEE-Std-802.11a-1999

    0下载:
  2. IEEE-Std-802.11a标准文档, 如你需要更多的IEEE标准请联系truewell@anhuinews.com-IEEE - Std-802.11a standard documentation, If you need more IEEE standard please contact truewell@anhuinews.com
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:631898
    • 提供者:莫宁
  1. IEEE.Xplore.CHINESE.Ver.1.4

    0下载:
  2. IEEE Xplore CHINESE Ver 1.4.doc IEEE Xplore CHINESE Ver 1.4.doc-IEEE Xplore ENGLISH Ver 1.4.doc IEEE Xplor e ENGLISH Ver 1.4.doc IEEE Xplore ENGLISH Ver 1. 4.doc
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:25588
    • 提供者:hang
  1. IEEE

    0下载:
  2. 关于联合信源信道编码的文章,来自IEEE,对于JSCC的研究很有参考价值
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7928154
    • 提供者:Airs
  1. IEEE-circuits-and-systems-for-video-technology-200

    0下载:
  2. IEEE H.264SVC扩展专辑。全部是权威人物撰写
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:19609241
    • 提供者:snazio
  1. IEEE.8.2.153a_channel_model

    0下载:
  2. IEEE.8.2.153a_channel_model的simulink仿真
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:11035
    • 提供者:李金龙
  1. IEEE Std 1364.1-2002 IEEE Std. 1364.1 - 2002 IEEE

    0下载:
  2. IEEE Std 1364.1-2002 IEEE Std. 1364.1 - 2002 IEEE Standard for Verilog Register Transfer Level Synthesis.rar
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:380828
    • 提供者:王刚
  1. IEEE 1394 火线协议标准

    1下载:
  2. IEEE 1394 火线协议标准-IEEE 1394 FireWire protocol standards
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1291725
    • 提供者:彭伟民
  1. IEEE Std 1284-1994.pdf

    0下载:
  2. 所属分类:操作系统开发

  1. IEEE+802[1].15.3a标准的超宽带信道模型

    0下载:
  2. IEEE+802[1].15.3a标准的超宽带信道模型源代码
  3. 所属分类:源码下载

  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. IEEE-data 包括15个常用的IEEE电网节点数据

    0下载:
  2. 包括15个常用的IEEE电网节点数据,有BPA,清华,IEEE和euro四种格式-it contains 15 power grid IEEE data, there are BPA, tsinghua, IEEE and euro format
  3. 所属分类:能源行业(电力石油煤炭)

    • 发布日期:2017-04-09
    • 文件大小:2343482
    • 提供者:吴三桂
  1. IEEE电脑鼠走迷宫参赛用代码

    0下载:
  2. IEEE电脑鼠走迷宫参赛用代码,16*16迷宫代码,采用了高速转弯方案,此为第一版,追求速度的调校方案,使用此代码在校级比赛中获得三等奖,使用者可参考本代码进行进一步修改与调整。-IEEE Computer Mouse Maze competition with the code, 16* 16 maze code, the program uses a high-speed cornering, this is the first edition, the pursuit of speed o
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-03-23
    • 文件大小:28603
    • 提供者:赵士博
  1. SIPS04Matlab.zip

    0下载:
  2. I. C. Wong, Z. Shen, J. G. Andrews, and B. L. Evans, ``A Low Complexity Algorithm for Proportional Resource Allocation in OFDMA Systems , Proc. IEEE Int. Work. Signal Processing Systems, 针对这篇文章给出的源代码,matlab code for I. C. Wong, Z. Shen, J. G. Andrew
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:16645
    • 提供者:hh
  1. demo.rar

    1下载:
  2. IEEE 802.15.4在NS2中的仿真源代码,AODV路由协议仿真。,IEEE 802.15.4 Simulation in NS2 source code, AODV routing protocol simulation.
  3. 所属分类:Linux Network

    • 发布日期:2017-03-28
    • 文件大小:38701
    • 提供者:yaqinchow
  1. IEEE 118 bus system

    0下载:
  2. IEEE 118 bus system designed in PSCAD
  3. 所属分类:其他

    • 发布日期:2018-05-02
    • 文件大小:263168
    • 提供者:engr.abs
  1. IEEE-30DC_program

    1下载:
  2. 直流潮流法计算IEEE-30节点的潮流数据(Calculation of Power Flow Data at IEEE-30 Nodes by DC Power Flow Method)
  3. 所属分类:网络编程

    • 发布日期:2021-04-23
    • 文件大小:2048
    • 提供者:Jerry Chen
  1. ieee

    1下载:
  2. 适用于SSO研究,搭建基于PSCAD的IEEE第一标准模型,可以在其基础上进行其他新能源机组的扩接(Suitable for SSO research)
  3. 所属分类:其他

    • 发布日期:2019-10-24
    • 文件大小:2380800
    • 提供者:spring young
  1. IEEE 14 bus

    1下载:
  2. Powerworld IEEE 14 bus .pwb Powerworld IEEE 14 bus .pwd
  3. 所属分类:其他

    • 发布日期:2021-04-01
    • 文件大小:8192
    • 提供者:frkydn09
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com