CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - LED verilog

搜索资源列表

  1. S9_LED_RUN

    0下载:
  2. 用Verilog语言编写的用于驱动led灯-this is a code for drive leds in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:166047
    • 提供者:王景波
  1. IT7038

    0下载:
  2. LED Host Driver Verilog Code-LED Host Driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:775706
    • 提供者:簡郁鑫
  1. div_led

    0下载:
  2. verilog编写的一个led跑马灯程序 简单-verilog program written in a simple led Marquee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:332506
    • 提供者:danny
  1. keyboard

    0下载:
  2. sparten 3E 开发板中按键盘,led灯变化的程序,verilog语言-sparten 3E development board in the keyboard, led lights change procedures, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:579
    • 提供者:杨飞
  1. wo

    0下载:
  2. sparten 3e开发板的旋转旋钮控制led灯的程序,verilog实现-sparten 3e development board led lamp rotary knob control procedures, verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:277292
    • 提供者:杨飞
  1. LED11511

    0下载:
  2. FPGA 控制LED的verilog程序 十分适合新手学习-FPGA LED control verilog program stutable for newbies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:435499
    • 提供者:cood
  1. or1200_wb_ram_gpio_pll

    0下载:
  2. Quartus ii项目,硬件平台为SOPC2000,能实现LED的各种显示控制及按键输入。包括硬件实现的Verilog及软件实现的C实现。SOPC系统的设计在Windows的quaruts ii 8.0上实现,软件部分在Ubuntu上实现。-Quartus ii project, the hardware platform for SOPC2000, to achieve a variety of LED display control and key input. Including Ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:637924
    • 提供者:陶宇
  1. adder2

    0下载:
  2. 此源代码是基于Verilog语言的持续赋值方式定义的 2 选 1 多路选择器 、阻塞赋值方式定义的 2 选 1 多路选择器、非阻塞赋值、阻塞赋值、模为 60 的 BCD码加法计数器 、模为 60 的 BCD码加法计数器、BCD码—七段数码管显示译码器、用 casez 描述的数据选择器、隐含锁存器举例 ,特别是模为 60 的 BCD码加法计数器,这是我目前发现的最优源代码,应用于解码器领域。-This source code is based on the Verilog language def
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1080
    • 提供者:王柔毅
  1. led_driver

    0下载:
  2. LED display verilog code. to generate clocks and wishbone interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1772
    • 提供者:r_ansal
  1. verilog_calculator

    1下载:
  2. 用verilog编写的简易计算器代码。通过一位全加器组成电路,可以实现加法、减法和乘法,并在七段数码管上显示出十进制的结果。-Simple calculator with code written in verilog. Composed by a full adder circuit, can add, subtract and multiply, and in the seven-segment LED display on the decimal result.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:15920
    • 提供者:刘涛
  1. _2_sw_led

    0下载:
  2. 开关控制led灯,verilog语言代码,对初学者有很大帮助-Switch led light, verilog language code, very helpful for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:51242
    • 提供者:光芒电子
  1. _5_key_led_without_debounce

    0下载:
  2. 学习fpga首选源码,初学者必备!!!verilog写得开关和led-Fpga preferred learning source, essential for beginners! ! ! verilog write switch and led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:54892
    • 提供者:光芒电子
  1. rtl

    0下载:
  2. 基于verilog的FPGA新型跑马灯程序设计-led run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:532
    • 提供者:austin
  1. Four-controllable-counter

    0下载:
  2. 功能是(用Verilog语言的,内有比较详细的注释): (1)计数器的功能是从0到9999计数,并能以十进制数的形式在七段数码管上显示出来(包括七段数码管显示模块). (2)该计数器有一个1个nclr和一个adj_plus端,在控制信号的作用下(见下表),计数器具有复位、增或减计数、暂停的功能。编写以上的程序的完整模块. 计数器的功能表 nclr adj_minus 功 能 0 0 复位为0 0 1 递增计数 1 0 递减计数 1 1 暂停计数 -Functi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1459783
    • 提供者:mowensui
  1. 8X8LED_verilog_fpga

    0下载:
  2. 8*8的LED 用VERILOG 写的FPGA,程序,这可是用在最近的项目中,下载用在最近的项目中,请标明出处!-8* 8 LED written with VERILOG FPGA, procedures, and this is used in a recent project, download used in recent projects, please credit!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:262333
    • 提供者:曾古与
  1. lock-and-lcd

    0下载:
  2. 基于博创实验箱UP-CUP-FPGA2C35-Ⅱ和Verilog HDL硬件描述语言,分为按键输入模块、LED指示灯模块及LCD显示模块,采用按键BTN1、BTN2作为输入端输入四位密码与事先设定的密码进行匹配,由D1、D2、D3、D4四盏LED灯来指示输入密码的位数。开机时,LCD显示“HELLO! WELCOME!Enter the code:当”,密码输入正确时,LED灯D7亮,同时在实验箱LCD显示屏上显示字符串“Good! Well done!you are right!!!”,当密码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2640
    • 提供者:吴寿武
  1. PCI_LED

    0下载:
  2. 基于PCI的LED跑马灯程序(verilog工程和上位机工程-PCI-based LED marquee program (verilog project and the host computer engineering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2017340
    • 提供者:fangyuanyong
  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23667
    • 提供者:徐俊
  1. code_lock

    0下载:
  2. 用verilog语言设置一个简易密码锁,当顺序输入137966时,密码正确,led灯亮。 -Verilog language setting with a simple lock, when the order of input 137966, the password is correct, led lights.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:878
    • 提供者:刘俊斌
  1. LEDdecode

    0下载:
  2. 由verilog相应的LED显示的七段码的相应的译码模块-By the corresponding verilog seven segment LED displays the corresponding code decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1203
    • 提供者:不是人
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 17 »
搜珍网 www.dssz.com