CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - LED verilog

搜索资源列表

  1. verilog

    0下载:
  2. 计时闹钟 报时 同时也包括了LED显示,-jishi naozhong
  3. 所属分类:assembly language

    • 发布日期:2017-04-26
    • 文件大小:141556
    • 提供者:叶佳浩
  1. KEY_LED_FPGA_VerilogHDL

    0下载:
  2. FPGA按键与LED,Verilog HDL代码-FPGA buttons and LED, Verilog HDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:120544
    • 提供者:贺炜
  1. colourful-led

    0下载:
  2. 其中为用verilog写的两个不用频率交替进行的流水灯设计,每个周期执行三次变换运行频率。-Where water lamp for use verilog to write two without frequency alternating design, execution three times per cycle frequency conversion run.
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2476567
    • 提供者:王哲
  1. verilog-basic

    0下载:
  2. verilog基础编程,交通指示灯,时钟,LED等类容-Based programming verilog, traffic lights, clock, LED such as capacity
  3. 所属分类:Other systems

    • 发布日期:2017-05-30
    • 文件大小:12165490
    • 提供者:qiqi
  1. verilog-traffic

    0下载:
  2. 模拟一个简单的十字路口交通灯(各个只有红绿黄灯,没有转弯灯)。交通灯一共有4 个状态,一是倒计时60 秒,同时亮南北方向绿灯、东西方向红灯;二是倒计时5 秒,同时数码管闪烁显示‘0’,同时亮南北方向红灯、东西方向黄灯;三是倒计时30 秒,东西方向亮红灯、南北方向绿灯;四是倒计时5 秒,数码管闪烁显示‘0’,东西方向亮黄、南北方向红灯。四个状态循环就构成了一个简单的交通灯(未了降低难度,我们设计简化交通灯,与真实情况不太一样)。-Simulate a simple intersection tra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:229116
    • 提供者:pudn
  1. led

    0下载:
  2. LED呼吸灯硬件编程语言 Verilog 实现占空比变化LED灯缓慢点亮和熄灭的效果-LED Breathe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1221
    • 提供者:zhuqiwei
  1. verilog-led

    0下载:
  2. 此程序是Verilog语言编写的一个流水灯程序,简单易行-This program is written in Verilog language a light water program, simple and feasible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3649
    • 提供者:何鹏才
  1. verilog

    0下载:
  2. vivado的led灯的学习程序,有兴趣学习soc的可以下载-the program for vivado study on SOC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:20583
    • 提供者:fishman
  1. led

    0下载:
  2. 一个简单的LED控制程序,采用verilog编写-A simple LED control procedures, write verilog
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-11
    • 文件大小:2459620
    • 提供者:赵川
  1. array-led-display-chinese-characters

    0下载:
  2. 基于fpga驱动点阵显示汉字,4*4点阵,采用Verilog-array led display chinese characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:287378
    • 提供者:wangjiaran
  1. LED

    0下载:
  2. FPGA中实现led流水灯,通过Verilog语言编程,程序中调用了xilinx公司提供的时钟分频IP CORE-This file is to achiece led like water
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:19733
    • 提供者:shao yue
  1. LED

    0下载:
  2. LED等循环点亮,verilog实现功能-LED lights light cycle, verilog to achieve functional
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:385887
    • 提供者:huqiao
  1. led

    0下载:
  2. 实现跑马灯的verilog程序 更方便的学习 适合初学者的程序 通俗易懂-Marquee realize verilog program easier to learn for beginners program straightaway
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:31692
    • 提供者:啧啧啧
  1. LED

    0下载:
  2. 这是一个流水灯的Verilog代码,非常好,很详细。-This is a useful verilog code
  3. 所属分类:Compiler program

    • 发布日期:2017-04-12
    • 文件大小:1225
    • 提供者:冯进
  1. led

    0下载:
  2. 入门级verilog编写的led点灯程序-Entry-level verilog prepared by the led lighting program
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:525
    • 提供者:刘兴
  1. Verilog-IIC-read-MPU6050-Filter

    0下载:
  2. 本代码实现了读MPU6050 三轴6个数据,用其中的GY和AZ、AX结合融合滤波算法,解出X单轴角度,并在黑金开发板的EP4C15F17C8芯片上调试成功,±5°范围内LED灯灭,左右摆动时相应左右灯亮。 顶层模块每隔5ms,发出一个is_read高电平,下面的模块读取一次数据,并计算,更新LED状态。有关计算都用的ip核,占用资源很大。希望对小小小小白有所帮助。 -Verilog codes read 6 axis data of MPU6050, and use GY AZ AX w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9502009
    • 提供者:魏溢
  1. traffic-light-Verilog

    0下载:
  2. 交通灯分为X组和Y组,每组包括了2位倒计时数码管和红黄绿三色LED信号灯(每组包括﹢、-两小组,显示内容一样),考虑到应用需求,要求芯片可通过I2C接口连接到上位机,以调节内部控制寄存器,此为Verilog代码,包含led、seg、timer等模块。-Traffic lights are divided into groups X and Y groups, each including two digital countdown yellow-green and red LED lights
  3. 所属分类:transportation applications

    • 发布日期:2017-12-13
    • 文件大小:16268
    • 提供者:chen le
  1. sp6

    0下载:
  2. 使用赛林思公司fpga开发的8为led流水灯程序。(Using, a company developed by FPGA, is a LED running water lamp program.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:111616
    • 提供者:xshtx
  1. ic_ds

    0下载:
  2. 不能少于20字能不能少点啊啊啊啊啊啊啊啊啊啊亮led(test_leddsf aasdfas dfs dfa)
  3. 所属分类:其他

    • 发布日期:2017-12-18
    • 文件大小:5547008
    • 提供者:D蒙
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

« 1 2 3 4 5 67 8 9 10 11 ... 17 »
搜珍网 www.dssz.com