CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - RS232 VHDL

搜索资源列表

  1. uart

    0下载:
  2. 用VHDL编写的RS232串口的通信程序-Written with the VHDL serial RS232 communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:198242
    • 提供者:chenye
  1. serialport

    0下载:
  2. 用VHDL语言,在FPGA上实现了对RS232串口的控制,能够接收从计算机键盘上输入的字符,并将接收的字符通过串口再发回到计算机。-It is a VHDL program on FPGA controling RS232 ,and it can receive and transmit data normally.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5357
    • 提供者:dujuan
  1. MyUART

    0下载:
  2. 经过我严格测试,已经获得实际应用的RS232串口通讯的VHDL编写的程序,对于初学者绝对有帮助!-After I tested, has received the application of the RS232 serial communication program written in VHDL, for absolute beginners help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1004495
    • 提供者:gglight
  1. RS232RefComp

    0下载:
  2. rs232基于vhdl语言的 uart通信模块 -language based on vhdl uart rs232 communication module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2889
    • 提供者:李宁
  1. 3574972rs232

    0下载:
  2. rs232通信。vhdl语言编写,能够下载成功-rs232 communication. vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:114654
    • 提供者:yuexinqi
  1. serial

    0下载:
  2. VHDL source code for Serial communication (RS232)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4108
    • 提供者:
  1. RS232_transmitter

    0下载:
  2. 基于VHDL的串口发送源程序,Altera cycloneII系列-The source program of RS232 transitter based of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:504389
    • 提供者:年龙
  1. uart1

    0下载:
  2. vhdl uart module. this file is used to transfer programs frm fpga xilinx spartam 3e kit to desktop pc through rs232 serial port.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:282747
    • 提供者:pingakshya
  1. rs232_receive_control

    0下载:
  2. RS232 receive control in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1203
    • 提供者:JT_LADINO
  1. rs232_transmitter

    0下载:
  2. tranmitter RS232 programmed in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1612
    • 提供者:JT_LADINO
  1. COMMAND232_SEND

    0下载:
  2. 这个代码用VHDL编写,是RS232在UART协议层发送数据的实现过程,很有用的!-The VHDL code is written, is the RS232 UART protocol layer in the implementation process of sending data, very useful!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1300
    • 提供者:xingzhanpeng
  1. Rs232Memoria

    0下载:
  2. memoria rs232 en vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:463967
    • 提供者:alejo
  1. 1.UART

    0下载:
  2. 该代码主要实现UART的串行通信,针对的是RS232芯片,同时包含了verilog和VHDL编写的程序-The code UART serial communication, RS232 chip, also contains a program written in verilog and VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-11-17
    • 文件大小:1501494
    • 提供者:mingbo
  1. R232_total

    0下载:
  2. 用VHDL语言在FPGA上实现RS232的通信-RS232 communication is implemented on FPGA using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:3257277
    • 提供者:dukailiang
  1. fir

    0下载:
  2. 该程序实现了一个FIR滤波加速器,该程序在FPGA板上开发,通过使用VHDL语言来定义RS232端口的使用-design a FIR Filter Accelerator based on FPGA board and RS232 interface using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:594279
    • 提供者:浦馨
  1. rs232_tr

    0下载:
  2. 自学的串口通信模块,包含接收模块,发送模块,波特率模块,顶层模块-RS232 communication application,VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5116
    • 提供者:lkg
  1. rs232lab

    0下载:
  2. Programming to interface with RS232 using VHDL on ALTERA KIT
  3. 所属分类:source in ebook

    • 发布日期:2017-04-25
    • 文件大小:152523
    • 提供者:binhnhi
  1. UART

    1下载:
  2. 使用标准VHDL编写的RS232协议,可在CPLD或者FPGA上直接实现串口通信功能。-use VHDL to implement RS232 protocol, which can be used in CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:530247
    • 提供者:林铎
  1. bldc_motor_control_design_example

    6下载:
  2. 无刷直流电机 VHDL VERILOG 控制,速度环,RS232 串口接收发送 始终分频 PWM生成 电机相序 actel FPGA使用-VERILOG BLDC control of the use of actel FPGA- actel VERILOG BLDC control of the use of actel FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:741243
    • 提供者:
  1. M_UartRecv0

    0下载:
  2. rs232串口基于VHDL的代码 很有用的 正确的 rs232串口基于VHDL的代码 很有用的 正确的-RS232 serial port based on VHDL code is very useful for the correct RS232 serial port based on VHDL code is very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2876
    • 提供者:孙悦
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com