CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Verilog HDL

搜索资源列表

  1. Verilog-HDL(HuaWei)

    0下载:
  2. Verilog入门教程,Verilog HDL入门教程(华为).pdf-Verilog Tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:262643
    • 提供者:祖先锋
  1. Verilog-HDL

    0下载:
  2. Verilog HDL程序设计教程,是非常适合Verilog HDL语言的初学者的入门教程,里面详细介绍了语法、结构等方面。-Verilog HDL programming tutorial, Verilog HDL language is very suitable for beginners introductory tutorial, which introduces grammar, structure, and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10861505
    • 提供者:pp
  1. Verilog-HDL

    0下载:
  2. verilog HDL的资料介绍,包含它的语言规范之类的,适合初学者-verilog HDL of materials, including its language specification and the like, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4843456
    • 提供者:hello
  1. code

    2下载:
  2. <基于Verilog HDL的通信系统设计>源码,包含ASK,FSK,PSK,QPSK,PPM等的调制解调-< Verilog HDL-based communication system design> source, including ASK, FSK, PSK, QPSK, PPM and other modem
  3. 所属分类:Communication-Mobile

    • 发布日期:2014-11-06
    • 文件大小:6144
    • 提供者:cjl
  1. Design-Through-Verilog-HDL

    0下载:
  2. design through verilog HDL by padamanaban
  3. 所属分类:VHDL-FPGA-Verilog

  1. verilog-HDL-learning

    0下载:
  2. 从零开始学verilog HDL ,包括Altera实验板原理图,xilinx实验板原理图和一些实验源程序-From scratch learn verilog HDL, including Altera experimental board schematic, xilinx test board schematics and source code of some experiments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3765886
    • 提供者:susu
  1. Verilog-HDL-synthesis

    0下载:
  2. Verilog HDL数字设计与综合 。详细介绍了Verilog设计数字系统-Digital Design and Verilog HDL synthesis. Details Verilog digital system design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7830001
    • 提供者:lvyunpeng
  1. FPGA-verilog-LCD-display

    0下载:
  2. FPGA实现LCD显示文字,是利用verilog HDL实现的,非常适合初学者,简单易懂。可以用来开发与此类似的功能-FPGA Implementation of LCD display text, is implemented using verilog HDL, is ideal for beginners, easy to understand. Can be used to develop and function like this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:421455
    • 提供者:范范
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. DDS

    1下载:
  2. 能在DDS中用Verilog HDL语言实现FM,AM,FSK,ASK,PSK,结合可编程器件FGPA等等就能实现这些功能 -DDS can be used in Verilog HDL language FM, AM, FSK, ASK, PSK, etc. FGPA programmable devices can be combined to achieve these functions
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-13
    • 文件大小:6281501
    • 提供者:王凡
  1. verilog-SPI-core

    0下载:
  2. 用VerilogHDL写的spi 核的例子-A simple example of SPI core using Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:49509
    • 提供者:guorui
  1. Verilog-HDL-

    0下载:
  2. 关于Verilog HDL的介绍。包括Verilog hdl的发展历史,语法应用介绍-On the Verilog HDL descr iption. Including Verilog hdl history of development, syntax described applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3073073
    • 提供者:hanmeimei
  1. Basic-Knowledge-of-Verilog-HDL

    0下载:
  2. 该讲稿从两个方面介绍了verilog HDL的基本知识: 1.verilog HDL的基础语言知识, 2.verilog-XL仿真 -Basic Knowledge of Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:290272
    • 提供者:冰火轩
  1. DE2-VGA-LED

    0下载:
  2. verilog HDL 语言编写的,FPGA的数码管和VGA的显示。调用时不必修改源码,只需引脚映射对就可以-verilog HDL language, FPGA digital and VGA display. Call without having to modify source code, you can just pin on the map
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5794076
    • 提供者:
  1. Verilog-HDL

    0下载:
  2. 本文档提供了非常完整的Verilog HDL语言代码源程序,希望大家多多借鉴,我们一起进步,谢谢!-This document provides very complete Verilog HDL language code source program, hope everybody many reference, we together with progress, thank you!!!!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:31673
    • 提供者:王萌
  1. verilog-hdl-example

    0下载:
  2. verilog hdl教程的135个例子-verilog hdl tutorial 135 cases
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:170254
    • 提供者:INTER
  1. A-Verilog-HDL-Test-Bench-Primer

    0下载:
  2. verilog testbench 编写入门,轻松教会编写测试代码-shell interpreter tutorial information, content, round and rich, from the basics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:57820
    • 提供者:赵玉祥
  1. Verilog-HDL

    0下载:
  2. 该书、资料主要是介绍基础上的VHDL语言,其语言要素以及表达式-the verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4169830
    • 提供者:
  1. Verilog-HDL-synthesis(2e)

    0下载:
  2. Verilog HDL数字设计与综合(第二版)-Digital Design and Verilog HDL synthesis
  3. 所属分类:VHDL编程

    • 发布日期:2017-06-01
    • 文件大小:13462513
    • 提供者:庞清平
  1. Design-and-test-verilog-hdl

    0下载:
  2. 《设计与验证Verilog HDL》的随书光盘-Design and test Verilog HDL of CD attached with books
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2493019
    • 提供者:胡飞飞
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com