CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - Verilog encoder

搜索资源列表

  1. keeloq encoder

    1下载:
  2. this code is a keeloq encryption verilog code-keeloq encryption verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2013-06-06
    • 文件大小:667
    • 提供者:kan
  1. CTC_Encoder

    1下载:
  2. duo-binary turbo encoder for wimax 802.16e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-25
    • 文件大小:6144
    • 提供者:Harsha VS
  1. LIB5002_CW_8b10b_enc

    1下载:
  2. Verilog 8b10b encoder source code
  3. 所属分类:EditBox

    • 发布日期:2017-03-29
    • 文件大小:18825
    • 提供者:jc
  1. rs_encoder

    0下载:
  2. reed solomon encoder used in DVB verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4867
    • 提供者:tmanev
  1. decoder-and-encoder

    0下载:
  2. codes for different modules in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3994
    • 提供者:Basanta Aryal
  1. 8-3-priority-encoder

    0下载:
  2. 用verilog硬件描述语言实现的8-3优先编码器-8-3 priority encoder
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-26
    • 文件大小:41076
    • 提供者:丁凤
  1. encoder-8b10b

    0下载:
  2. 可以实现8b10b编码,verilog源程序,经过测试-8b10b Encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1873
    • 提供者:华香凝
  1. JPEG-Encoder

    1下载:
  2. JPEG 编码器的verilog实现,已经在XILINX SPARTAN6上实现并验证。-The JPEG encoder verilog implementation has been implemented in a Xilinx SPARTAN6 and verify.
  3. 所属分类:Wavelet

    • 发布日期:2017-11-06
    • 文件大小:40181
    • 提供者:Justin Bieber
  1. encoder

    0下载:
  2. 8线-3线编码器,用verilog语言实现的-8 lines-3 line encoder, using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:77780
    • 提供者:叶云
  1. dvi-code-verilog

    1下载:
  2. dvi encoder and decoder for fpga
  3. 所属分类:Special Effects

    • 发布日期:2017-04-02
    • 文件大小:158794
    • 提供者:lmy
  1. Huffman-Encoder

    1下载:
  2. 本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。-The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11847457
    • 提供者:普尔
  1. verilog-source-codes

    0下载:
  2. the attached programs are source codes of 4-bit ring counter, 16x1 mux, 8x3 priority encoder, 4x16 decoder, full subtractor using two half subtractors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2236
    • 提供者:apparao
  1. MATLAB-and-Verilog-codes

    0下载:
  2. there are 5 files. the first two codes are written in Matlab as m-files in control system design to show step responses. in contrast, the final three codes are written in verilog ( Quartus II) used in Altera one of them for BCD adder and the other fo
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2147
    • 提供者:YAZEN H
  1. Encoder

    0下载:
  2. The program using verilog language to decribe encoder x1 x2 and x4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:889664
    • 提供者:Vu Phan
  1. PCD encoder

    1下载:
  2. ISO14443 A PCD encoder for RFID chip design verification. Verilog code
  3. 所属分类:VHDL编程

  1. verilog-juanjima

    1下载:
  2. 卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(2,1,7)卷积码已应用于现代卫星通信系统中。Viterbi译码算法能最大限度地发挥卷积码的优异性能。这里采用Verilog  HDL语言设计出(2,1,7)卷积码的编码器模块和基于Viterbi算法的译码器模块,译码器采用全并行结构,译码速度快-Convolutional code is an important forward error correction channel coding method, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:10240
    • 提供者:邓博于、
  1. CCIR656-encoder

    0下载:
  2. a source code of CCIR656 encoder in verilog HDL with corresponding testbench and a snapchat of the resulting waveform-a source code of CCIR656 encoder in verilog HDL with corresponding testbench and a snapchat of the resulting waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:58657
    • 提供者:kevin
  1. encoder

    0下载:
  2. The code for 8 to 3 encoder is written in Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:748
    • 提供者:bcd
  1. FPGA-H265-Encoder

    15下载:
  2. H.265的FPGA实现!!使用Verilog语言开发。-H.265 FPGA implementation! Developed using Verilog language.
  3. 所属分类:Special Effects

    • 发布日期:2017-06-02
    • 文件大小:14726436
    • 提供者:sunyongchang
  1. BCH_VLSI

    0下载:
  2. 使用HLS完成BCH编码的运算通路的设计,纯组合逻辑,对于65nm工艺可跑上1GHz。已经组合逻辑分为了多个部分,可在每一个部分之间插流水线。 附上可综合的纯RTL Code以及C++代码,以及Modelsim仿真。 可通过我的优化选项来学习如何优化HLS工具生产的代码。(BCH Encoder realized using HLS tool. Combinational logic.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:14505984
    • 提供者:蔡宇杰
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com