CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - analog to digital converter

搜索资源列表

  1. LPC2148_ADC

    0下载:
  2. LPC2148平台下实现ADC(数模转换控制器)编程,在IAR环境下编译通过。-Under LPC2148 platform ADC (digital-to-analog converter controller) programming environment in the IAR compiler through.
  3. 所属分类:uCOS

    • 发布日期:2017-04-25
    • 文件大小:104792
    • 提供者:zgq
  1. lesson4_27

    0下载:
  2. 数模转换实例!调试已经通过!包括protus仿真!可以显示-Examples of digital-to-analog converter! Debugging has been passed! Including protus simulation! Can show
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-17
    • 文件大小:37878
    • 提供者:呵呵
  1. ADshumuzhuanhuan

    0下载:
  2. 单片机课程的一个试验,主要是关于AD数模转换的具体情况。-Single-chip microcomputer of a pilot program, focusing on the digital-to-analog converter AD specific circumstances.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3077
    • 提供者:xxb
  1. dianziqin

    0下载:
  2. 利用数模转换器产生音乐D/A转换器长生模拟信号,是计算机的数字键1,2,3,4,5,6,7做电子琴按键,按下即发出声音。 每个音阶有确定的频率-The use of digital music have a D/A converter analog signal longevity, is the computer' s number keys do 1,2,3,4,5,6,7 flower button, press that is sound. Each scale to dete
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:717
    • 提供者:马闹闹
  1. 5416-DAC

    0下载:
  2. TI DSP 5416 数模转换DAC的C语言例程-TI DSP 5416 digital-to-analog converter DAC of the C language routines
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:5565
    • 提供者:陈洲
  1. DAC0832

    1下载:
  2. c51 DAC0832 数模转换模块实验-11-DAC0832 digital-to-analog converter module
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:8121
    • 提供者:wt
  1. jifenshidianyabiao

    0下载:
  2. 本系统采用双积分电路将对直流电压的直接测量,利用模拟开关实现对量程的无触点切换。A/D转换器部分采用普通元器件构成模拟部分,利用AT89S52单片机借助软件实现数字计数显示功能,同时采用AT89S52单片机编程实现直流电压表量程的自动转换、自动校零、和液晶显示等功能。-The system will be dual-integral circuit of the direct measurement of DC voltage, using analog switches to achieve
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:187960
    • 提供者:海峰
  1. DAC

    0下载:
  2. Digital to analog convertion testing programm for 8088 based processor and A2D converter IC
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:12332
    • 提供者:Madmoon
  1. F040_da

    0下载:
  2. 用C8051F040实现DA(模拟-数字)变换。C8051F040集成了12位精度的DA转换器。-With the C8051F040 to achieve DA (analog- digital) conversion. C8051F040 integrates a 12-bit accuracy of the DA converter.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:5502
    • 提供者:赵伯阳
  1. AD0809

    0下载:
  2. 利用实验平台上的ADC0809做A/D转换器,实验平台上的电位器提供模拟量输入,编制程序,将模拟量转换成二进制数字量。-ADC0809 on the experimental platform used to do A/D converter, experimental platform to provide analog input potentiometer, programming, will be converted into a binary analog digital.
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:1090
    • 提供者:林燕
  1. dac

    0下载:
  2. digital to analog converter
  3. 所属分类:Special Effects

    • 发布日期:2017-04-24
    • 文件大小:58731
    • 提供者:gobhinath
  1. THS5651[1]

    0下载:
  2. 该THS5651是一个10位分辨率的数字到模拟转换器(DAC),专为优化数字数据 传输有线和无线通信系统。 10位DAC是一个CommsDAC成员 高速,低功耗CMOS数字系列模拟转换器。该CommsDAC系列由管脚 兼容的14 - ,12 - ,10 - ,和8位DAC。所有设备提供相同的接口选择,小外形封装和 引脚。该THS5651提供优越的AC和DC性能,同时支持更新率高达100 MSPS的。-The THS5651 is a 10-bit resolution digit
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:580335
    • 提供者:陈祥
  1. dac8143

    0下载:
  2. Digital to Analog Converter Model for Analog Devices part DAC 8143.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:960
    • 提供者:salman
  1. AD

    0下载:
  2. 1. 构建8051单片机外部总线,扩展ADC0808 A/D转换器; 2. 用8051串行口扩展连接4位八段LED数码显示器; 3. 按1、2要求完成系统电路设计; 4. 在A/D模拟通道输入50Hz/2Vpp三角波信号,编制C51程序测量三角波的幅度平均值并显示。 -1. To build the external bus 8051, extended ADC0808 A/D converter 2. With the 8051 serial port extension t
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:393304
    • 提供者:ml
  1. jbwuxian

    0下载:
  2. 在单片机的输出端口接D/A转换器,通过D/A转换器将单片机输出的数字信号转换为模拟信号。外接信号放大器将模拟信号放大输出。-In the microcontroller output port then D/A converter, through the D/A converter output of the microcontroller digital signal converted to analog signals. An external signal amplifier outp
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:301400
    • 提供者:stw
  1. analogtodigital

    1下载:
  2. 实现了数模转化器的功能,并且对其中的信号进行了仿真-Digital-analog converter to achieve the function, and the signals on which the simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:204654
    • 提供者:illg
  1. Care_and_Feeding_of_the_one_Bit_Digital_to_Analog_

    0下载:
  2. The one bit digital to analog converter (DAC) is a magical circuit that accomplishes D/A conversion without using any analog components. This a neat trick. The matched resistors required by conventional current summing DACs become more and more d
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:192664
    • 提供者:NNO
  1. AD_sci

    0下载:
  2. 其主要功能是把外界模拟信号的电压参量经过A/D转换器,转换成数字量,并把转换结果存储以便分析处理-Its main function is to the outside parameters of voltage analog signal after A/D converter into a digital number, and to convert the results stored for analysis and processing
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:557946
    • 提供者:chenqunf
  1. dac

    0下载:
  2. here i attached the digital to analog converter program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:916
    • 提供者:bavi
  1. Lab0306-DA

    0下载:
  2. 利用专用的数模转换芯片,可以实现将数字信号转换成模拟量输出的功能。 控制方式较为简单:首先将需要转换的数值通过数据 总线传送到TLV7528 上相应寄存器,再发送转换信号,经过一个时间延迟,转换后的模拟 量就从TLV7528 输出引脚输出。-Using a dedicated digital-analog converter chip, can achieve the digital signals into analog output function. Control metho
  3. 所属分类:Graph program

    • 发布日期:2017-03-29
    • 文件大小:79917
    • 提供者:doris
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 21 »
搜珍网 www.dssz.com