CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - dds verilog

搜索资源列表

  1. dds_mul

    0下载:
  2. 简单的多周期dds的verilog编程,出来一个正弦波,可任意改变频率字-Simple multi-cycle dds verilog programming, out of a sine wave, the frequency can be arbitrarily changed words
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:4068949
    • 提供者:shanshan
  1. dds

    0下载:
  2. verilog编写的dds发生器,修改频率字可改频率-dds in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:3298304
    • 提供者:wangxiao
  1. DDS

    0下载:
  2. 用verilog语言实现,DDS信号发生与嵌入式逻辑分析仪的调用,程序功能完整 -Using verilog language, DDS signal generator with embedded logic analyzer called, the program features a complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:8982
    • 提供者:
  1. DDS

    0下载:
  2. FPGA产生DDS,未使用IP核,内含VERILOG程序-FPGA generates DDS, unused IP core, containing VERILOG program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:586014
    • 提供者:bodao
  1. DDS_2013_7_7_updata_past_v0.1

    0下载:
  2. DDS verilog,自己写的,验证通过!-DDS verilog made by myself,and test past!
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4504695
    • 提供者:paul
  1. verilog dds

    0下载:
  2. 用verilog 实现dds功能,可以实现方波,三角波等波形的输出
  3. 所属分类:VHDL编程

  1. dds

    0下载:
  2. 在altera的FPGA上实现直接数字频率合成,即用verilog实现DDS,输出正弦波形,在modelsim软件中仿真通过,已包含所有代码和工程以及二进制流文件。-The realization of direct digital frequency synthesis in the Altera FPGA, which is implemented by Verilog DDS, the output sine wave, through the simulation in Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:694210
    • 提供者:汪少锋
  1. dds

    0下载:
  2. 采用硬件描述语言verilog进行DDS变换的实现的代码-Using hardware descr iption languages Verilog implementation of DDS converter code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1082
    • 提供者:何晨光
  1. DDS

    0下载:
  2. 用Verilog HDL 编写的一个最基本的DDS程序,发生正弦波-Verilog HDL prepared with a basic DDS program, the occurrence of a sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:890435
    • 提供者:董航
  1. DDS

    1下载:
  2. Verilog HDL实现FPGA的DDS功能,含有实验原理与代码程序-FPGA Verilog HDL realize the DDS function, principles and codes containing experimental procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:893995
    • 提供者:秦天沐
  1. DDS

    0下载:
  2. 基于fpga的正余弦波形发生器,Verilog代码,测试通过。-Cosine waveform generator fpga based, Verilog code, the test passes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4471745
    • 提供者:黄迟
  1. DDS

    0下载:
  2. 基于fpga的DDS详细设计方案 verilog语言 正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-Direct Digital Synthesizer base on fpga use verilog Sine calculator to calculate the value of the digital phase sine wave amplitu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5949576
    • 提供者:网窝囊
  1. DDS

    0下载:
  2. verilog编写,使用fpga中dds手法,可以输出任意波形的发生信号。-verilog write, use the dds fpga way, you can output an arbitrary waveform signal occurs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:507229
    • 提供者:李俊
  1. dds

    0下载:
  2. 在quartus软件上,采用verilog实现DDS功能。- using verilog realize DDS function On quartus software.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2167098
    • 提供者:刘云
  1. dds

    0下载:
  2. 这是本人在学校做的一个DDS信号发生器,频率相位可调。输入时钟50Mhz-DDS phase frequency adjustable Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2437497
    • 提供者:wen show
  1. DDS

    1下载:
  2. Verilog实现DDS线性调频,Verilog实现DDS线性调频-Verilog implementation of DDS linear FM,Verilog implementation of DDS linear FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:910
    • 提供者:youyou
  1. DDS

    0下载:
  2. FPGA基于FPGA的DDS设计verilog程序-FPGA DDS project verilog procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:10512
    • 提供者:吴汉
  1. DDS

    0下载:
  2. FPGA实现三通道DDS信号源Verliog程序-FPGA to achieve three-channel DDS signal source Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9389154
    • 提供者:果粒橙
  1. DDS(ok)

    0下载:
  2. 制作ROM正弦表并填充FPGA内部ROM,通过调用内部数据实现正弦波输出,开发环境quartusii , 语言verilog , 调试通过 , 附有modelsim调试结果。-Make ROM sine table and fill the ROM internal FPGA, by calling the internal data to achieve the sine wave output, development environment QuartusII, Language Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10149508
    • 提供者:PrudentMe
  1. DDS

    0下载:
  2. 基于FPGA,Verilog语言编写的DDS信号发生器,可生成方波、正玄波,三角波。-Based FPGA, Verilog language DDS signal generator that generates a square wave, sine wave, triangle wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:131453
    • 提供者:梁世强
« 1 2 3 4 5 67 8 9 10 »
搜珍网 www.dssz.com