CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - dds verilog

搜索资源列表

  1. DDSFPGA_cylone

    0下载:
  2. dds设计,花了一个星期做的,verilog写的,可生成多种波形,频率范围可上M,性能不错。-dds design, spent a week doing, verilog written, multiple waveform generation, frequency range available on the M, good performance.
  3. 所属分类:Windows CE

    • 发布日期:2008-10-13
    • 文件大小:637936
    • 提供者:苏纳
  1. oneperiod

    0下载:
  2. 将正弦波分割,数字化处理,即dds技术,为verilog做准备
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3142
    • 提供者:严新文
  1. dds_quicklogic

    0下载:
  2. 这是quicklogic公司的直接频率合成(DDS)Verilog代码
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-16
    • 文件大小:22732
    • 提供者:jinzhoulang
  1. DDSverilogsource

    0下载:
  2. DDS的VERILOG原代码,请大家多支持
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3305
    • 提供者:屈开
  1. dds_using_FPGA

    0下载:
  2. verilog编写基于fpga的DDS实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:448910
    • 提供者:宇天
  1. dds_drive.c

    0下载:
  2. DDS发生器NIOS .c文件,在NIOSII中可以配合Verilog代码生成的自定义外设产生DDS信号
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:3973
    • 提供者:白天
  1. 四相载波发生器

    0下载:
  2. 本代码采用Altera公司的FPGA为主控芯片,以开发软件QuartusⅡ为工具,采用EDA设计中的自顶向下与层次式设计方法,使用精简的DDS算法完成了输入为14MHz,输出四路频率为70MHz的四相序正弦载波(相位分别为0°、90°、180°、270°)的设计。还完成了输入为14MHz,输出为70MHz的四相序方波载波(相位分别为0°、90°、180°、270°)的设计。利用Verilog HDL语言进行了程序设计并用QuartusⅡ对设计进行了仿真,验证了其正确性。
  3. 所属分类:源码下载

  1. key_sin

    0下载:
  2. PS/2键盘加DDS的verilog 设计-PS/2 keyboard plus the verilog design DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1969212
    • 提供者:刘汉超
  1. dds_verilog

    0下载:
  2. 产生信号发生器的dds的verilog代码,很好的学习资料,值得学习-Verilog code generated signal generator dds good learning materials, it is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:3185
    • 提供者:李军
  1. d_e_g_dds

    0下载:
  2. 基于Verilog HDL的迟早门码元同步方案中的DDS程序,已经仿真通过,可以在FPGA开发板上实现。迟-早门方式实现码元同步在无线通信中有着广泛应用。来自华中科大。-Early-later gate of Verilog HDL-based symbol synchronization scheme in the DDS program, has been through simulation, can be achieved in the FPGA development board. F
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1262511
    • 提供者:ye
  1. EDA_project

    0下载:
  2. 基于Verilog和VHDL的DDS程序 基于VHDL的8位十进制频率计 -Verilog and VHDL based on the DDS process VHDL-based 8-bit decimal Cymometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2382105
    • 提供者:李建兵
  1. DDS_VERILOG

    0下载:
  2. 超级精简的DDS发生器,用VERILOG编写,请参考-Super-streamlined DDS generator with VERILOG preparation, please refer to
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:3032
    • 提供者:吴宏伟
  1. dds_var

    0下载:
  2. 自己写的一个简单的DDS控制器,此程序包包含完整的VERILOG写的程序,操作有点简单,输出正弦波,方波,锯齿波,通过键盘可以选择输出波形,与大家共享-To write a simple DDS controller, this package contains a complete program written in VERILOG, a bit simple to operate, the output sine wave, square wave, sawtooth, through t
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1185205
    • 提供者:deng
  1. dds_mine

    0下载:
  2. 这是基于verilog的dds系统设计,比较简单,希望对大家有用-This is based on verilog for dds system design, relatively simple, hope for all of us! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:488895
    • 提供者:林海
  1. AD9851_VERILOG

    0下载:
  2. 一个DDS芯片AD9851的VERILOG程序,加74HC574锁存器!-A DDS chip AD9851' s VERILOG program, plus 74HC574 latch!
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:594
    • 提供者:陈枫
  1. dds

    0下载:
  2. 这是一个用Verilog语言实现的一个数字信号产生器算法-This is a use Verilog language implementation of a digital signal generator is presented
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2734916
    • 提供者:liu liushuai
  1. dds_synthesizer

    0下载:
  2. Verilog编写的基于DDS的信号发生器,频率可变。(Verilog prepared by the DDS-based signal generator, the frequency variable.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:332800
    • 提供者:lionsde
  1. ex_DDS

    0下载:
  2. 基于Verilog语言实现DDS(数字频率合成器)的设计,有完整的工程设计代码和仿真脚本(Verilog language based on DDS (digital frequency synthesizer) design, there is a complete engineering design code and simulation scr ipts)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:7505920
    • 提供者:WaaDee
  1. signal

    0下载:
  2. 简易频谱仪 256位 采用了直接数字频率合成技术(DDS)和计算机控制技术,选择美国Analog Devices公司的高度集成DDS芯片AD9851和AT89S52单片机作为控制器件,设计了一种基于DDS的程控信号发生器。用C语言进行了软件应用设计。实验结果表明,该信号发生器能较好地产生较高稳定度的激励信号,具有较高的实用价值。(Simple spectrum meter 256 bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:7323648
    • 提供者:luke28
  1. verilog实现dds

    1下载:
  2. 基于FPGA实现信号发生器的的功能,较好的参考资料。(The function of signal generator is realized based on FPGA, which is a good reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-09-28
    • 文件大小:2594816
    • 提供者:sudochang
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com