CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - divider

搜索资源列表

  1. fq_divider

    0下载:
  2. 分频器-Divider ..
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:912
    • 提供者:黄香
  1. vhdl_buzzer

    1下载:
  2. 蜂鸣器实验 向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,该实验通过设计一个状 态机和分频器使蜂鸣器发出“多来咪发梭拉西多”的音调。-buzzer to buzzer this experiment certain frequency square wave can buzzer sounded a corresponding pitch. The experiment by designing a state machine and the buzzer sounded a d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:217777
    • 提供者:赵海东
  1. VHDLchufaqi

    0下载:
  2. MAXPLUS2 自己编写的VHDL 4位除法器-MAXPLUS2 prepare themselves VHDL four Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:129113
    • 提供者:刘建
  1. arban

    0下载:
  2. 这是一个用verilog实现的除法器代码。-This is a realization of the use verilog divider code.
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:976
    • 提供者:arban
  1. fenpinqi11

    0下载:
  2. 基于FPGA的分频器设计,已经通过了仿真(VHDL语言编写)-divider based on FPGA design, has adopted the simulation (VHDL language)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:456189
    • 提供者:董省
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. div2

    1下载:
  2. 大数除法的实现算法,不仅能实现两个大数的除法,而且能实现浮点数之间以及浮点数与整数之间的除法-majority of the division algorithm, is not only able to make large numbers of division two, but to achieve a float and between integer and floating point divider between the
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:9257
    • 提供者:赵惠
  1. cpld

    0下载:
  2. 一个好用的整数分频电路 保证你喜欢 能够实现对任意整数的分频电路设计-a handy integer frequency divider circuit assures you like to be able to achieve arbitrary integer frequency circuit design
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:915
    • 提供者:王多奎
  1. divider

    0下载:
  2. 一个用VHDL语言编写的除法器程序,对从事硬件开发的同志有帮助的。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1621
    • 提供者:毛江飞
  1. divider

    1下载:
  2. 介绍了除法器的设计,采用verilogHDL语言,利用modelsim仿真验证,压缩包中包含了流程图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83931
    • 提供者:yaoyongshi
  1. divider

    0下载:
  2. 此代码用于实现基2的SRT除法器设计,可以实现400MHz以上的32位定点无符号数除法器(除数、被除数和余数均由16位整数和16位小数组成,商由32位整数和16位小数构成,包括源代码和测试文件,可以直接仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2323
    • 提供者:朱秋玲
  1. divider

    0下载:
  2. 经过精心设计的除法器的代码,并在FPGA硬件平台实现和验证过的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1696
    • 提供者:hewg
  1. divider

    0下载:
  2. 基于srt-2算法,利用verilog实现16位定点无符号数除法器(除数、被除数均由16位整数和16位小数组成,商由32位整数和16位小数构成,余数由32位小数组成)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2933
    • 提供者:刘蒲霞
  1. clkdiv2

    0下载:
  2. a good clock divider
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1509
    • 提供者:Billy Chan
  1. clock-divider

    0下载:
  2. 这是一个关于时钟分频率器的程序,它可以实现频率的扩大。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:913
    • 提供者:李军
  1. clk-div

    0下载:
  2. VHDL code for a clock divider by 27 circuit with a resulting waveform with 50% duty cycle..
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3035
    • 提供者:李军
  1. fet440_uart11_38400

    0下载:
  2. Echo a received character, RX ISR used. Normal mode is LPM0. // USART1 RX interrupt triggers TX Echo. // Baud rate divider with 1048576hz = 1048576/38400 = ~27.31 (01Bh|03h) // ACLK = LFXT1 = 32768Hz, MCLK = SMCLK = default DCO = 32 x ACLK = 10
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1259
    • 提供者:韩彪
  1. 分频器FENPIN1

    0下载:
  2. EDA中常用模块VHDL程序,不同时基的计数器由同一个外部是中输入时必备的分频函数。分频器FENPIN1/2/3(50分频=1HZ,25分频=2HZ,10分频=5HZ。稍微改变程序即可实现)-EDA VHDL modules commonly used procedure, the time - with a counter by the external input is required when the sub-frequency functions. Frequency Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3131
    • 提供者:李培
  1. DoubleDiv

    0下载:
  2. 这是单片机MS-51双字节带符号数除法扩展子程序-This MS-51 with two-bit symbols divider expansion subroutine! !
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2825
    • 提供者:徐祥
  1. fq_divider

    0下载:
  2. A simple program implements a frequency divider.
  3. 所属分类:VHDL编程

« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com