CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fpga 开发板

搜索资源列表

  1. 4yue11haoxiawu

    0下载:
  2. 1、基于FPGA实现FIR数字滤波器的研究(使用VHDL语言进行编程) 2、多功能单片机下载开发软硬件的设计(利用VB或V C++和C语言)有下载板和下载软件 3、迷你播放器(利用Visual Basic 6.0设计)可以播放多种格式的音乐和电影,以及图片浏览等等 4、小电容小电感测试仪 -1, FPGA-based digital FIR filter (use VHDL program) 2. Multi-function download the soft
  3. 所属分类:按钮控件

    • 发布日期:2008-10-13
    • 文件大小:16764
    • 提供者:wangxing
  1. ARMer9_Core

    0下载:
  2. armer9 开发系统核心板的原理图。pdf格式。8Mnorflash,64Mnandflash cs8900. fpga
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:302353
    • 提供者:赵先生
  1. colorful_signal

    0下载:
  2. 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 由系统提供的时钟源引入扫描信号,根据VGA彩色显示器的工作原理,设计出各种颜色编码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7770
    • 提供者:lijq
  1. 121111

    0下载:
  2. 关于FPGA和单片机的PCB板的开发原理图,以及相关的单片机程序设计-On the FPGA and PCB MCU development board schematics, as well as related Singlechip Programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:814359
    • 提供者:黎安兵
  1. plj

    0下载:
  2. 数字频率计是一种用来测试周期性变化信号工作频率的装置。其原理是在规定的单位时间(闸门时间)内,记录输入的脉冲的个数。我们可以通过改变记录脉冲的闸门时间来切换测频量程。本文利用EDA技术中的Max+plusⅡ作为开发工具,设计了基于FPGA的8位十进制频率计,并下载到在系统可编程实验板的EPF10K20TC144-4器件中测试实现了其功能。-Digital frequency meter is a kind of cyclical changes in the signal used to tes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:591499
    • 提供者:庄青青
  1. UP3_clock

    0下载:
  2. 这是一个电子钟程序,采用VHDL开发,在altera的FPGA板上实现。-clock VHDL altera FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:3871
    • 提供者: 陈诚
  1. LAB2

    0下载:
  2. 适合初学者的FPGA。Altera de2 板开发试验程序-Suitable for beginners FPGA. Altera de2 board development test program
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4265787
    • 提供者:吴雷
  1. FPGAaSOPC-design

    0下载:
  2. 此书详细介绍了基于FPGA的数字系统设计,和SOPC技术的实用教程,并有练习示例等内容,采用DE2板开发-This book details the FPGA-based digital system design, and SOPC technology, practical tutorials, and practice examples and other content, developed with DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:30620385
    • 提供者:lovely
  1. altiumPdesigner3

    0下载:
  2. 基于NB板的FPGA的开发与应用。。。Altium 公司出厂自带的-NB DEVELOP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4842661
    • 提供者:ue
  1. fir

    0下载:
  2. 该程序实现了一个FIR滤波加速器,该程序在FPGA板上开发,通过使用VHDL语言来定义RS232端口的使用-design a FIR Filter Accelerator based on FPGA board and RS232 interface using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:594279
    • 提供者:浦馨
  1. Altera-verilog-I2C

    1下载:
  2. I2c verilog语言,在开发板上验证过的FPGA端代码程序;(Altera flatform, use verilog code i2c, test ok.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1008640
    • 提供者:武哥
  1. Altera-verilog-LCD12864

    0下载:
  2. 使用Altera FPGA方案,用verilog编程语言,驱动LCD12864器件,在开发板已验证;(use altera fpga flatform, verilog language, driving LCD12864 device, test ok.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:402432
    • 提供者:武哥
  1. Altera-verilog-StepMotor

    0下载:
  2. 使用Altera FPGA平台,Verilog编程语言,编写步进电机驱动程序,已在开发板上验证;(on altera fpga flatform, use verilog language, driving stepmotor, and test ok.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:307200
    • 提供者:武哥
  1. pll_test

    0下载:
  2. PLL,即锁相环。是FPGA中的重要资源。由于一个复杂的FPGA系统往往需要多个不同频率,相位的时钟信号。所以,一个FPGA芯片中PLL的数量是衡量FPGA芯片能力的重要指标。FPGA的设计中,时钟系统的FPGA高速的设计极其重要,一个低抖动, 低延迟的系统时钟会增加FPGA设计的成功率。本例程调用Xilinx提供的PLL核来产生不同频率的时钟, 并把其中的一个时钟输出到FPGA外部IO上, 也就是开发板的SMA接口上。(PLL, pll. It's an important resource
  3. 所属分类:硬件设计

    • 发布日期:2017-12-31
    • 文件大小:221184
    • 提供者:cddwishper
  1. usb_rd_buffer

    0下载:
  2. FPGA(SPARTAN6)通过USB协议与开发板上的USB芯片进行数据读写测试,在上位机上可以看到USB发来的数据,也可以通过修改VERILOG代码完成数据的接收(FPGA (SPARTAN6) can read and write data through the USB chip on the development board through the USB protocol. The data sent by USB can be seen on the host computer,
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:4411392
    • 提供者:marktuwen
  1. liushuideng

    0下载:
  2. Cyclone V开发实验板实现FPGA的8位流水灯(Cyclone V development of experimental board to realize 8 bit flow lamp of FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:4385792
    • 提供者:wwda
  1. 3-8译码器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4;利用XIlinX编程,使用VHDL语言来描述组合逻辑器件3-8译码器(In the FPGA:Spartan-3E development board series, XC3S500E, FGT320, -4 package: speed; the use of XIlinX programming, using VHDL language to describe the combination
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:10240
    • 提供者:lixilin
  1. DE2_synthesizer

    0下载:
  2. 基于DE2FPGA开发板的多功能音乐合成器研究实现与综合(based on DE2 FPGA 2C35 development board design music synthesizer string base)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:4096
    • 提供者:thermon
  1. SRIO_4x_DSP2FPGA

    2下载:
  2. C6678开发板高速串口与FPGA之间的通信配置,应用程序(Communication Configuration between High Speed Serial Port of C6678 Development Board and FPGA, Application Program)
  3. 所属分类:DSP编程

    • 发布日期:2019-01-16
    • 文件大小:2292736
    • 提供者:lve123
  1. 基于basys3的推箱子游戏

    1下载:
  2. 基于FPGA的游戏实例,开发板为Xilinx的basys3,VGA显示(Basys3, VGA Display of Xilinx Development Board Based on Game Example of FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-17
    • 文件大小:609280
    • 提供者:Miura
« 1 2 ... 33 34 35 36 37 3839 »
搜珍网 www.dssz.com