CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fpga matlab

搜索资源列表

  1. Matlab-demo-for-mif

    0下载:
  2. 使用MATLAB自动生成mif格式文件源代码,用于FPGA仿真-Using MATLAB to automatically generate mif format file for FPGA simulation
  3. 所属分类:Energy industry

    • 发布日期:2017-05-05
    • 文件大小:308393
    • 提供者:wop636
  1. Gps-receiver-using-xilinx-fpga-and-ti-dsp-in-matl

    1下载:
  2. Gps receiver using xilinx fpga and ti dsp in matlab
  3. 所属分类:matlab

    • 发布日期:2017-05-14
    • 文件大小:3233587
    • 提供者:liu
  1. Wireless-communication-FPGA

    0下载:
  2. 《无线通信FPGA设计》一书的verilog代码跟matlab代码,非常实用的好资料,本书是数字通信IC方向必看的- Wireless communication FPGA design, a book of verilog code with matlab code, very useful good information, this book is the direction of digital communication IC must see
  3. 所属分类:source in ebook

    • 发布日期:2017-05-05
    • 文件大小:210828
    • 提供者:李浩轩
  1. mui_ux63

    0下载:
  2. Fiber Transmission wireless communication system performance, Using weighted model nodes in the network strength and weight are power law distribution, ECG data and includes source code written in MATLAB.
  3. 所属分类:单片机开发

    • 发布日期:2017-12-29
    • 文件大小:5120
    • 提供者:Kain_
  1. Desktop

    0下载:
  2. 可以用于直接生成FPGA quartus等项目需要的dat文件(Can be used to directly generate FPGA, quartus and other projects required by the dat file)
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:paulwww
  1. FPGA

    0下载:
  2. 三相NPC三电平逆变器Matlab Simulink 具有滞环电流控制(Three phase NPC three level inverter Matlab Simulink has hysteresis current control)
  3. 所属分类:matlab例程

  1. uk558

    0下载:
  2. Computing time and two-dimensional histogram, Optimization class contains several simple sample programs, matlab prepared cellular automata.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-16
    • 文件大小:11264
    • 提供者:fingyoulai
  1. 1.bin

    0下载:
  2. testing matlab fpga codes
  3. 所属分类:matlab例程

    • 发布日期:2017-12-30
    • 文件大小:102400
    • 提供者:deyruihwuf
  1. 并行滤波器实现

    0下载:
  2. matlab和FPGA实现并行滤波器,为书籍附源码,禁止用于商业用途哈,交流学习使用()
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:807936
    • 提供者:JamesZhang1983
  1. 19_vga_test

    0下载:
  2. 基于fpga的vga显示,芯片:EP4CE6F17C8(Analysis and implementation of complex modulation ZOOM-FFT algorithm based on MATLAB)
  3. 所属分类:其他

    • 发布日期:2018-01-03
    • 文件大小:5918720
    • 提供者:ys95
  1. SV

    0下载:
  2. 基于dspbuilder的svpwm仿真,可以直接转化为VHDL程序,加载到FPGA中使用(SVPWM simulation based on dspbuilder, can be directly converted to VHDL program, loaded into the use of FPGA)
  3. 所属分类:matlab例程

    • 发布日期:2018-01-05
    • 文件大小:13312
    • 提供者:灵萱uiq
  1. d974d4330bf7

    2下载:
  2. 这是一个非常完整的qpsk调制解调用fpga实现的工程,在工程中已经能够正常使用,使用的quartus ii 开发,使用Verilog语言,文件中还包含了各种滤波器的系数文件,还有matlab仿真文件,整个工程包含从串并变换,相位映射,到成型滤波,中通滤波,cic滤波,调制,再到解调过成的下变频,匹配滤波,载波提取,位定时,判决,整个完整的过程(This is a very complete QPSK modulation and demodulation using FPGA implemen
  3. 所属分类:串口编程

    • 发布日期:2018-04-21
    • 文件大小:13488128
    • 提供者:maerzaizai
  1. FPGA_hslogic_face

    0下载:
  2. FPGA代做,通过matlab实现PCA人脸识别算法,并计算识别率(n the FPGA generation, the PCA face recognition algorithm is realized by MATLAB and the recognition rate is calculated.)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-21
    • 文件大小:92160
    • 提供者:hlayumi
  1. ZoomFFT

    0下载:
  2. FPGA代做,Hslogic,基于matlab的Zoomfft算法的仿真实现。(FPGA generation, Hslogic, a simulation implementation of the Zoomfft algorithm based on MATLAB.)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-22
    • 文件大小:1024
    • 提供者:hlayumi
  1. BB

    0下载:
  2. matlab代做mfpga,连通域区域的面积,周长,紧密度,离心度的matlab仿真(Matlab generation of mfpga, area, circumference, tightness, and centrifugation of MATLAB simulation of connected domain)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:99328
    • 提供者:hlayumi
  1. matlab实现dvbt2_syn

    2下载:
  2. DVB-T2帧同步模块,先做了matlab实现可以实现效果,再转到FPGA上进行了实现;里面还有复数小数转二进制以及二进制转复数小数的代码(The DVB-T2 frame synchronization module is implemented first by MATLAB, and it can achieve the effect. Then it is implemented on FPGA. There are also codes for complex decimal to b
  3. 所属分类:其他

  1. quartus和modelsim中使用mif和hex文件1

    0下载:
  2. quartus和modelsim中使用mif和hex文件1(fpga modelsim mif hex)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:52224
    • 提供者:打酱油啊
  1. SAD

    0下载:
  2. hslogic,基于FPGA的SAD算法的仿真与实现(Hslogic, simulation and implementation of SAD algorithm based on FPGA)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:686080
    • 提供者:hlayumi
  1. 一种基于FPGA的相位测量方法_陈兴文

    0下载:
  2. 一个基于扩频水印的MATLAB程序调试好的,大伙可参考一下,互相学习 (Spread-spectrum watermark based on a MATLAB program debugging good, everyone can make reference to learn from each other)((Spread-spectrum watermark based on a MATLAB program debugging good, everyone can make refe
  3. 所属分类:图片显示

    • 发布日期:2018-04-30
    • 文件大小:48128
    • 提供者:华为mate
  1. iir_2n_ip_float_demo

    0下载:
  2. 使用altera提供的ip核,实现了浮点数运算的2阶iir滤波器,结果与matlab运算结果相同。(Using the IP core provided by Altera, the 2 order IIR filter of floating point operation is implemented, and the result is the same as that of MATLAB operation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:48926720
    • 提供者:小天夫斯基
« 1 2 3 4 5 67 8 9 10 11 ... 14 »
搜珍网 www.dssz.com