CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - ieee power

搜索资源列表

  1. lagrangian_mechanics

    0下载:
  2. MODERN TECHNIQUES OF POWER SPECTRUM ESTIMATION BY C. BINGHAM, M. D. GODFREY, and J. W. TUKEY Reprinted from IEEE TRANSACTIONS ON AUDIO AND ELECTROACOUSTICS Volume AU-15, Number 2, June 1967 pp. 56-66 Copyright c 1967 { The Institute
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-04
    • 文件大小:248014
    • 提供者:far
  1. Newton-Raphson_power_flow

    1下载:
  2. 基于牛顿—拉夫逊法编写的潮流计算程序,采用的是IEEE标准输入格式,该程序通俗易懂,适应力较强。-Based on Newton- Raphson power flow calculation program written in, using the IEEE standard input format, the program is user-friendly, adaptable and strong.
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:6037
    • 提供者:皇甫星星
  1. PQ-powerflow

    5下载:
  2. 运用P-Q分解法进行电力系统潮流计算,本程序用的是IEEE-14标准节点数据。-PQ decomposition method using power flow calculation, this procedure is used in standard IEEE-14 node data.
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2014-11-24
    • 文件大小:18703
    • 提供者:丁瑜
  1. 2

    0下载:
  2. 嵌入式系统课件。 根据IEEE(国际电机工程师协会)的定义,嵌入式系统是“控制、监视或者辅助装置、机器和设备运行的装置”(devices used to control, monitor, or assist the operation of equipment, machinery or plants)。从中可以看出嵌入式系统是软件和硬件的综合体,还可以涵盖机械等附属装置。目前国内一个普遍被认同的定义是:以应用为中心、以计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-06-19
    • 文件大小:30124383
    • 提供者:letitia
  1. VHDLsample

    0下载:
  2. 英国诺森比亚大学的vhdl语言例程集锦,英文原版。 包含很多优秀的VHDL语言范例,可供学习。所有程序均可在符合IEEE标准的模拟器上模拟。-This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language when used to describe various types of hardware. The exampl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:172126
    • 提供者:eensy
  1. 1

    0下载:
  2. 电力系统 导纳矩阵的形成 数据输入按IEEE标准-Power system admittance matrix of the form data input by IEEE standard
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:841
    • 提供者:正歪歪
  1. 123

    1下载:
  2. 电力系统 用C#形成节点导纳矩阵,数据输入为IEEE标准-Power system using C# form a nodal admittance matrix, the data input for the IEEE standard
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:2962
    • 提供者:正歪歪
  1. 5385126

    0下载:
  2. 这份资料价值极高,主要是关于DP/PA耦合器的一种新的开发方法,对于软件编程、单片机及总线知识要求很高,不管怎样这样的资料网上极少,是从IEEE辛苦找到的。-The foreign DP/PA coupler is realized by SIM1+ MCU chip,with a large number of procedures and codes completed by the software, which is very complicated, and technol
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:277414
    • 提供者:lixiaoxin
  1. case300

    0下载:
  2. 电力系统潮流计算采取牛顿-拉弗逊法 IEEE 300 -power flow calculation IEEE 300
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:11408
    • 提供者:seven
  1. RS9110N1122EVB

    0下载:
  2. The RS9110-N-11-21 module from Redpine s Connect-io-n™ family of products is a complete IEEE 802.11bgn Wi-Fi client device with a standard seria or SPI interface to a host processor or data source. It integrates a MAC, Baseband p
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-29
    • 文件大小:724826
    • 提供者:chenxiang
  1. ZICM2410_ds

    0下载:
  2. Zigbee网络基于IEEE 802.15.4国际标准、上层协议为ZigBee协议栈,具有低功耗,低速率,高可靠性,网络路由功能强大,自恢复及冗余性能优异等特点,广泛应用低数据率监控的各个领域。-Based on IEEE 802.15.4 Zigbee network of international standards, the upper layer protocol for the ZigBee protocol stack, with a low power, low speed, h
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-06
    • 文件大小:970304
    • 提供者:xue
  1. the-power-save-protocol-used-in-both-the-IEEE-802

    0下载:
  2. c(est un document qui contient le code source d un protocole IEEE802.15.4 zigb-c(est un document qui contient le code source d un protocole IEEE802.15.4 zigbee
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:110167
    • 提供者:intissar
  1. NR_PowerFlow_AC_DC_Execl_880119

    0下载:
  2. load flow in power system ieee 39 bus
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:3037
    • 提供者:ali
  1. 1042562

    0下载:
  2. IEEE Standard for Shunt Power Capacitors
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:149492
    • 提供者:SHINEH
  1. IEEEPdata

    0下载:
  2. ieee标准节点 电力系统潮流计算测试节点信息-ieee standard node test power system node information flow calculation
  3. 所属分类:Algorithm

    • 发布日期:2017-05-08
    • 文件大小:1894016
    • 提供者:tang
  1. MC1321xEVKRM-CHS

    0下载:
  2. 关于本书 本手册提供了由13213-Network Coordinator Board(13213-NCB网络协调器板)和13213-Sensor Reference Board(13213-SRB传感器参考板)组成的MC1321xEVK详细的硬件描述.13213-NCB和13213-SRB的功能非常相似。两个板的主要差别围绕外围电路,而不在于参考设计本身. 13213-NCB和13213-SRB提供了评估和使用MC1321x方案所有必须的组件.13213-NCB和13213-SRB围绕
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2161379
    • 提供者:赵重明
  1. ZIGBEE

    0下载:
  2. Zigbee是IEEE 802.15.4协议的代名词。根据这个协议规定的技术是一种短距离、低功耗的无线通信技术。这一名称来源于蜜蜂的八字舞,由于蜜蜂(bee)是靠飞翔和“嗡嗡”(zig)地抖动翅膀的“舞蹈”来与同伴传递花粉所在方位信息,也就是说蜜蜂依靠这样的方式构成了群体中的通信网络。其特点是近距离、低复杂度、自组织、低功耗、低数据速率、低成本。主要适合用于自动控制和远程控制领域,可以嵌入各种设备。简而言之,ZigBee就是一种便宜的,低功耗的近距离无线组网通讯技术。 -Zigbee is
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:275003
    • 提供者:jianzhong
  1. 05361482

    0下载:
  2. Its a research paper from ieee In this letter, analytical expressions are derived for the power spectral density (PSD) of orthogonal frequency division multiplex (OFDM) signals employing a cyclic prefix (CP-OFDM) or zero padding (ZP-OFDM) time
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-08
    • 文件大小:267095
    • 提供者:payal
  1. pso_m

    1下载:
  2. 本程序用matlab编写,采用基本粒子群算法(PSO)来求解IEEE标准40节点电力负荷分配问题-This program iswritten in matlab, the basic particle swarm optimization (PSO) to solve the IEEE standard 40 node power load allocation problem
  3. 所属分类:Algorithm

    • 发布日期:2017-03-31
    • 文件大小:8001
    • 提供者:李进
  1. VHDL-examples

    0下载:
  2. 此文件包含一个VHDL源文件,这足以说明语言的多样性和电源时用来描述不同类型的硬件选择。例子从简单的组合逻辑描述,如一个微处理器和内存相关的行为模式,更复杂的系统。所有的例子可以使用任何符合IEEE VHDL仿真和许多可以模拟 合成使用目前的综合工具。 -This file contains a selection of VHDL source files which serve to illustrate the diversity and power of the language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:169162
    • 提供者:东方不败
« 1 2 3 4 5 67 8 9 10 11 »
搜珍网 www.dssz.com