CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - msk

搜索资源列表

  1. msk

    0下载:
  2. 采用改变mapping circuit的方法,变QPSK为MSK仿真.-circuit used to change the mapping method to change QPSK MSK simulation.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1111
    • 提供者:易雄书
  1. MSK

    1下载:
  2. 在MATLAB上实现的MSK调制与解调程序。-Implemented on the MATLAB MSK modulation and demodulation process.
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:1806
    • 提供者:seven
  1. msk

    0下载:
  2. msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:978
    • 提供者:李博
  1. msk

    0下载:
  2. This an example of creating an MSK signal-This is an example of creating an MSK signal
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:585
    • 提供者:Wee
  1. msk

    1下载:
  2. msk MATLAB代码,可以实现msk调制,按IQ原理编写的-msk MATLAB code,msk modulation can be achieved,according to I,Q prepared in principle
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:1319
    • 提供者:ailsa
  1. msk

    0下载:
  2. msk一种恒包络信号,本源码用多种方案实现MSK信号的调制和解调-msk a constant envelope signal, the source with a variety of programs to achieve the modulation and demodulation of MSK
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:9213
    • 提供者:wangyu
  1. MSK

    0下载:
  2. 通信系统仿真,MSK,SYSTEMVIEW,数字通信系统的SystemView仿真与分析-Communication system simulation, MSK, SYSTEMVIEW, Digital Communication System Simulation and Analysis SystemView
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1051
    • 提供者:lingerschan
  1. QPSK-MSK-QAM-OFDM

    1下载:
  2. 完整的QPSK-MSK-QAM-OFDM调制解调程序,完整的QPSK-MSK-QAM-OFDM调制解调程序-Complete QPSK-MSK-QAM-OFDM modulation and demodulation process, a complete QPSK-MSK-QAM-OFDM modulation and demodulation process
  3. 所属分类:matlab

    • 发布日期:2016-06-05
    • 文件大小:3072
    • 提供者:
  1. Msk

    0下载:
  2. msk与直接序列扩频方面的文章,内容不错的-MSK AND KUOPIN
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-08
    • 文件大小:373573
    • 提供者:gyc1204
  1. MSK

    2下载:
  2. 实现了msk的调制解调,测试过的,绝对好用-Msk modulation and demodulation implemented, tested, and absolutely easy to use
  3. 所属分类:matlab

    • 发布日期:2014-11-29
    • 文件大小:2048
    • 提供者:Johnny
  1. MSK调制解调代码

    1下载:
  2. MSK(Minimum Frequency Shift Keying)是二进制连续相位FSK的一种特殊形式。MSK称为最小频移键控,所谓“最小”是指这种调制方式能以最小的调制指数(0.5)获得正交信号,本程序给出了MSK信号的调制解调过程,并有详细注释。
  3. 所属分类:matlab例程

  1. MSK程序

    0下载:
  2. MSK调制解调在加噪声的情况下的程序代码(MSK modulation and demodulation plus noise)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-23
    • 文件大小:11264
    • 提供者:洁1
  1. 直扩MSK

    1下载:
  2. 直扩MSK通信链路的仿真程序。PN码采用m序列,周期可自行改动。接收端解扩解调同时进行。亲测可用。(Simulation program of DSSS MSK communication link. PN code uses m sequence, the cycle can be changed by itself. Simultaneous demodulation and demodulation are carried out at the receiver. Pro test ava
  3. 所属分类:matlab例程

  1. MSK demode

    1下载:
  2. 基于一种优化的MSK信号差分数字解调算法的matlab解调仿真(Simulation of MATLAB demodulation based on an optimized differential demodulation algorithm for MSK signals)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:`爱遇宝宝
  1. MSK

    0下载:
  2. 自己做的程序,可以查看MSK的相位轨迹。(Own procedure, you can view the phase trajectory of MSK.)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-17
    • 文件大小:32768
    • 提供者:legend27
  1. IQ-MSK

    0下载:
  2. This project is simulation of the MSK modulation in the MATLAB. this project has one file for Modulating some random number and one file for demodulating of the signal. just run the first code and after that run the second code. this code also has
  3. 所属分类:通讯编程

    • 发布日期:2017-12-26
    • 文件大小:115712
    • 提供者:Masoudm969
  1. MSk调制解调代码1024

    0下载:
  2. 该程序是移动通信MSK的程序代码,具有更高的频谱利用率,具有更强的抗噪声性能(MSK have good performance in communication area.)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:潜伏0327
  1. 基于MATLAB的MSK调制解调实现

    2下载:
  2. 利用MATLAB集成环境下的M文件,编写程序来实现MSK的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。通过这次课程设计进一步加深了我对最小二进制相移键控(MSK)调制解调性能的理解与掌握,同时将课堂上学到的理论知识用于实践。增强了我在模拟仿真方面的能力,为以后系统开发和通信系统仿真打下了良好的基础。(The use of MATLAB in the integrated environment of
  3. 所属分类:通讯编程

    • 发布日期:2018-01-02
    • 文件大小:595968
    • 提供者:史宾
  1. MSK

    3下载:
  2. 完成了MSK的调制解调,采用了三种解调方式并进行了对比。(The modulation and demodulation of MSK are completed, and three demodulation methods are adopted and compared.)
  3. 所属分类:matlab例程

    • 发布日期:2019-05-06
    • 文件大小:29696
    • 提供者:corn
  1. MSK信号数字调制解调

    0下载:
  2. 内含3种MSK调制程序,详细说明请参见网址:https://blog.csdn.net/i_no_one/article/details/90451131 还包含MSK解调说明。包含程序的使用说明,均为MATLAB程序。
  3. 所属分类:matlab例程

    • 发布日期:2019-10-10
    • 文件大小:9546
    • 提供者:inoone
« 1 23 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com