CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - quartus II

搜索资源列表

  1. sopc

    0下载:
  2. altera推出的基于它们fpga和cpld的构建嵌入式系统的新技术sopc的介绍。其集成在quartus II中-ALTERA due to launch them and they simply cpld Construction of the new Embedded System Technology sopc briefing. Its integrated into the Quartus II
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8864049
    • 提供者:刘吉
  1. intro_to_quartus2_chinese

    1下载:
  2. 介绍quartus II 汉语教程,非常难得,-A Chinese introduction to quartus II.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3089317
    • 提供者:石峰
  1. 66_FIR

    0下载:
  2. 这是一个VHDL写的FIR模块,我的编译环境是QuartusII 5.0-This is a VHDL modules written FIR, I compiler environment is Quartus II 5.0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8452
    • 提供者:佴立峰
  1. std_cf_2c35

    0下载:
  2. 这个是基于NIOS II的FPGA平台的一个CF卡的接口模块,是在Quartus II下的完整工程包-NIOS II FPGA platform a CF card interface module, Quartus II is the complete package works
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:399637
    • 提供者:佴立峰
  1. CPLDOGRAM

    1下载:
  2. 摘要: 文中介绍了数字频率计的结构、工作原理及计数方式,给出了基于VHDL语言的频率计系统的行为源描述,讨论了在VHDL的高级综合系统QuartusII的支持下,自顶向下地进行传输模块的设计工程,并给出了系统的仿真波形以及其应用实践。-Abstract : This paper introduces a digital frequency of the structure and working principle and counting, is based on VHDL Frequency
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5918
    • 提供者:李越
  1. key_scan1

    0下载:
  2. 用verilog实现的四乘四键盘程序,在Quartus II上编译通过并成功-achieved using Verilog 4 x 4 keyboard procedures, the Quartus II compiler on the adoption and successful
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:595105
    • 提供者:大圣
  1. VHDL_processor

    0下载:
  2. 利用VHDL语言描述的一个简单微处理器,可以通过修改源码来调整指令集,可以在Quartus II上直接运行和编译.-use VHDL descr iption of a simple microprocessor, can modify the source codes to adjust instruction set, Quartus II can be directly compiled and running.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:743011
    • 提供者:赵康
  1. ref-ualaw

    0下载:
  2. A率/u率 压缩与解压缩的IP核,。 # 由AHDL语言写成,可在MaxplusII和QuartusII中使用,源代码加密。-A rate / u rate compression and decompression of the IP core,. By AHDL # languages, and the Quartus II MaxplusII use, the source code encryption.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:119678
    • 提供者:zhangkun
  1. 硬件求解平方根

    0下载:
  2. 硬件求解平方根源代码加密 (硬件求解平方根的,将license添加到原有的MaxplusII或QuartusII的license中就可以直接使用,但源代码加密。altera提供 )-solving square root of the hardware encryption code (square root of the hardware solution will be added to the original license MaxplusII or Quartus II of the
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:40676
    • 提供者:咱航
  1. seq_gen_576

    1下载:
  2. 高清电视HDTV信号发生器,576P逐行,VHDL语言,ALTERA的Quartus II开发平台-HDTV HDTV signal generator, 576P progressive, VHDL, Altera's Quartus II development platform
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162144
    • 提供者:lidan
  1. counter60

    0下载:
  2. 这是我们做的一个作业 摸60计数器,用Quartus ii 做的 ,内容齐全 不可不看。-This is the one we do feel 60 counter operation with Quartus ii do. complete contents can not see.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:138992
    • 提供者:qqq
  1. videofram

    0下载:
  2. 用CPLD控制图像卡进行帧存逻辑的verilog程序,用Quartus II 5.0打开-with CPLD control image frame buffer cards logical verilog procedures, Quartus II 5.0 Open
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1325
    • 提供者:陈刚峰
  1. altera_lcd_controller

    0下载:
  2. quartus II-sopc builder avalon总线LCD控制IPCORE-quartus II-sopc builder avalon Bus LCD controller IP CORE
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26888
    • 提供者:张建
  1. Crack_QII60_b178

    0下载:
  2. Quartus II 6.0完全Crack文件-Quartus II 6.0 document completely Crack
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:6319
    • 提供者:江纵海
  1. 1

    0下载:
  2. 一触即发 好玩的效果,基于quartus平台编写(This is a course work, showing some interesting results, welcome to download the exchange)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:602112
    • 提供者:1101
  1. FPGA实验指导书

    0下载:
  2. 很多程序实例,vhdl语言及quartus平台应用的实用实验资料(A lot of program examples, VHDL language and quartus platform application of practical experimental data)
  3. 所属分类:书籍源码

    • 发布日期:2018-01-01
    • 文件大小:4057088
    • 提供者:芮芊
  1. VHDL程序

    0下载:
  2. 利用QuartusⅡ6.0对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。(The Quartus II 6 is used to simulate the VHDL code of the designed taxi billing device, and the control is realized on the FPGA digital experiment system.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. SHA256_SYSTEM

    0下载:
  2. 利用硬件(可编程逻辑器件FPGA)实现密码算法SHA256,在FPGA中嵌入软核NIOSii,在NIOSii上进行软件编程。 硬件EDA工具为ALTERA的Quartus ii,软件IDE为eclipse(嵌在Quartua中)。(The hardware (programmable logic device FPGA) is used to implement the cryptographic algorithm SHA256, and the soft core NIOSii is em
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:4413440
    • 提供者:风@筝
  1. DE3_usermanual

    0下载:
  2. Terasic de3 for intel quartus ii manual
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:11254784
    • 提供者:jasdf
  1. uart

    0下载:
  2. UART接口的基于FPGA芯片,用Verilog语言实现,在quartus上操作(UART interface is implemented by FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 3 4 5 6 78 9 10 11 12 ... 46 »
搜珍网 www.dssz.com