CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - sigma delta

搜索资源列表

  1. delsig

    0下载:
  2. Oversampling Delta-Sigma Data Converters
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-27
    • 文件大小:546169
    • 提供者:张海
  1. ADC

    0下载:
  2. 该matlab程序验证了信号处理中delta-sigma ADC的效果,在过采样和delta-sigma单次循环后得到的图象性噪比提高。-The matlab program verification of signal processing in the effect of delta-sigma ADC, and the over-sampling delta-sigma a single image obtained after cycles of noise ratio increase
  3. 所属分类:Special Effects

    • 发布日期:2017-03-27
    • 文件大小:962
    • 提供者:王奇
  1. oneorder

    2下载:
  2. 一阶sigma-delta ADC 算法仿真程序及量化台阶可视化-oneorder sigma-delta ADC simulation code and quatity ladder
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2014-11-05
    • 文件大小:872
    • 提供者:yinjun
  1. sd

    2下载:
  2. 一阶sigma delta的matlab中simulink的仿真,z域-First-order sigma delta of matlab simulation in simulink, z domain
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:5893
    • 提供者:贺金
  1. UKF

    2下载:
  2. 自己写的UKF滤波程序,使用2n+1Sigma点采样-UKF filter written by myself, using 2n+1 Sigma-point sampling
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:2752
    • 提供者:ZHUANG
  1. All_Digital_DC2DC_Converters_on_FPGA

    0下载:
  2. The FPGA can realize a more optimized Digital controller in DC/DC Converters when compare to DSPs. In this paper, based on the FPGA platform, The theoretical analysis, characteristics, simulation and design consideration are given. The methods to imp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:115436
    • 提供者:gsbnd
  1. dm

    0下载:
  2. By using a MATLAB program, design a Delta Modulation (DM) system with one step-size and a modified DM system with two step-sizes.
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:1504
    • 提供者:song
  1. DSM

    0下载:
  2. delta sigma modulator
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1555
    • 提供者:nahid
  1. delsig

    0下载:
  2. MATLAB Delta Sigma developpement.
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:756625
    • 提供者:nejmeddine
  1. sndr

    1下载:
  2. 计算流水式模数转换器或者sigma-delta adc的SNDR-calculate SNDR of pipelined adc or sigma-delta adc
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-04
    • 文件大小:2295
    • 提供者:史小凤
  1. coherencefilter

    0下载:
  2. Example: A = double(imread( fingerprint.png ))/255 B = coherencefilter(A,4, sigma ,1.5, rho ,5) image(min(max(B,0),1)) axis image [1] Weickert, J. 1996. Anisotropic Diffusion in Image Processing. Ph.D. Thesis, Dept. of Mathemati
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:78765
    • 提供者:dkm
  1. activeContoursSnakesDemo

    0下载:
  2. 本演示实现了主动轮廓模型由Kass等人提出。 要运行它的图形用户界面 1。基于MATLAB型引导提示。 2。关于“到现有的图形用户界面,点击” 3。选择在与本文件相同的目录snk.fig文件 4。按一下上方的绿色箭头启动图形界面 一旦GUI已经启动,您可以通过使用蛇 1。点击“新形象”,并加载输入图像。提供的样品图像。 2。平滑参数设置“西格玛”或保留其默认值并点击“过滤器”。这将平滑图像。 3。只要你点击“过滤器”,头发会出现交叉
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:334204
    • 提供者:zhou
  1. simulateSNR

    0下载:
  2. Determine the SNR for a delta-sigma modulator by using simulations. The modulator is described by a noise transfer function (ntf) and the number of quantizer levels (nlev).
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:1987
    • 提供者:hamdi
  1. sigmas

    0下载:
  2. 用MATLAB进行Sigma 采样,用于数值计算或者数值滤波-Sigma sampling conducted with MATLAB for numerical calculations or numerical filtering
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1121
    • 提供者:Michael
  1. BandpassSignalGen

    0下载:
  2. generation of wideband high dynamic range analog signal for area-efficient MADBIST, especially for the on-chip testing of wireless communication IF digitizing sigma–delta modulator chip. Via increasing the order of the one-bit bandpass sigma–delta m
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:5535
    • 提供者:Nupur Naik
  1. delta-sigma

    0下载:
  2. 关于delta-sigma调制器的详细教程
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267718
    • 提供者:dsahd
  1. 0070509379

    0下载:
  2. this archive is a document that us allows make a simulation of modulator sigma delta
  3. 所属分类:matlab

    • 发布日期:2017-06-15
    • 文件大小:23466568
    • 提供者:tome
  1. sigmadelta

    0下载:
  2. sigma delta modulator
  3. 所属分类:matlab

    • 发布日期:2017-05-01
    • 文件大小:571999
    • 提供者:Ab Ba
  1. sigma-delta-modulator

    0下载:
  2. 实现SIGMA-DELTA Modulator的veriolog代码-sigma-delta moudulator for RFPLL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2889
    • 提供者:zhangzezhi
  1. Sigma_Delta

    2下载:
  2. sigma delta 调制器 滤波器设计(present a series of Simulink models to design a high-level behavioral model of a Sigma-Delta ADC)
  3. 所属分类:matlab例程

    • 发布日期:2018-04-21
    • 文件大小:483328
    • 提供者:ciancrooger
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com