CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog 状态机

搜索资源列表

  1. uartok

    0下载:
  2. 采用verilog编写的串口通信程序,采用了状态机设计!程序简单,消耗资源少-Serial communication written by verilog hdl. It is designed with FSM. The program is simple,and consume resource is few.
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:431689
    • 提供者:陈旭
  1. xcv

    0下载:
  2. verilog编写的状态机检测00100序列. 实现 input:...011000010010000... output:...000000000100100... 并且 用测试模块来验证状态是否正确工作-verilog prepared by the state machine detected 00,100 sequences. Achieve input : ... ... 011000010010000 output : 000000000100100 ... ... a
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6837
    • 提供者:陆磊
  1. Verilog-example3

    0下载:
  2. verilog实例分析第三部分,通过实例分析讲解有限状态机的设计过程。-The third case study verilog part, by an example to explain the finite state machine design process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1550286
    • 提供者:lyon
  1. 32-bit-division-design-In-Verilog

    1下载:
  2. 32位除法器,基于状态机设计,使用Verilog实现-32-bit division based on state machine. Using Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:724
    • 提供者:yangd
  1. class09_A

    0下载:
  2. Verilog 状态机编写按键消抖,并且testbench-Verilog write key debounce
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:126952
    • 提供者:马鹤鸣
  1. pro

    0下载:
  2. S10420背照式CCD verilog 状态机驱动代码-S10420 back-illuminated CCD verilog state machine driver code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3242787
    • 提供者:许明
  1. 4LED

    0下载:
  2. 4LED流水灯程序,可更换频率,采用状态机,低电平有效亮灯,高电平熄灭(4LED water lamp program, you can change the frequency. Using state machine, low level active light, high level extinction)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:1024
    • 提供者:柳弦
  1. SEQ_DETECTOR

    0下载:
  2. 这是一个四位串行数据检测器,一共有三种模式可以选择:递增(检测连续四位递增序列),递减(检测连续四位递减序列)和不变(检测连续四位不变序列)。整个设计采用同步时钟,异步复位,用米利状态机,并配置好了仿真环境和仿真文件。(This is a four bit sequence detector, including three modes that can be selected: increment mode (detecting four consistency increment data)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:1855569
    • 提供者:LLawliet
  1. fsm

    0下载:
  2. 有限状态机fsm 二段式编写 verilog(Finite state machine, FSM, two sections, verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:24576
    • 提供者:cadetblues
  1. sdram_ip

    0下载:
  2. 完成SDRAM的上电配置,状态机编写其读写模块,存储模块,并通过两个异步作为存储和读取的通道(Complete the SDRAM power-on configuration, the state machine to write its read-write module, memory module, and through two asynchronous as a storage and read the channel)
  3. 所属分类:VHDL/FPGA/Verilog

  1. lowpower

    0下载:
  2. 最大公约数(GCD)stein算法实现,低功耗状态机实现(The greatest common divisor (GCD) stein algorithm, low power state machine implementation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:3072
    • 提供者:BetaGo
  1. 信号分析与处理——MATLAB语.part1

    1下载:
  2. ① Verilog的抽象级别 ② Verilog的模块化设计 ③ 如何给端口选择正确的数据类型 ④ Verilog语言中latch的产生 ⑤ 组合逻辑反馈环 ⑥ 阻塞赋值与非阻塞赋值的不同 ⑦ FPGA的灵魂状态机 ⑧ 代码风格的重要性((1) the abstract level of Verilog The modular design of Verilog How to select the correct data type for the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1457152
    • 提供者:mmelody
  1. xujiance

    0下载:
  2. 设计一个序检测电路,功能是检测出串行输入数据Data中的4位二进制序列1101(自左至右输入),当检测到该序列时,输出Out为1;没有检测到该序列时,输出输出Out为0,要求: (1)用状态机方法设计; (2)用Verilog HDL语言设计,用Modelsim软件做功能仿真。(A sequence detection circuit is designed to detect the 4 bit binary sequence 1101 in the serial input data D
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1024
    • 提供者:spysleeper
  1. Desktop

    0下载:
  2. 用Verilog编程语言来实现一个具有奇校验功能的串行发送电路,可以采用移位寄存器和有限状态机的方式来实现。(Serial transmission circuit with odd check function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:2048
    • 提供者:许晴125
  1. eda

    0下载:
  2. 在Verilog HDL中使用任务(task), 利用有限状态机进行时序逻辑的设计,利用SRAM设计一个LIFO(In Verilog HDL, the task (task) is used, the finite state machine is used to design the time series logic, and a LIFO is designed by SRAM)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-23
    • 文件大小:3072
    • 提供者:随风sf
  1. lession_10 led_diver

    0下载:
  2. 流水灯驱动 状态机写的 很好 已经运用有实际编程中(LED drive The state machine is well written and has been used in practical programming)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:6009856
    • 提供者:18108156237
  1. uart

    0下载:
  2. 用verilog实现UART串口收发。状态机形式实现,波特率可调(Use verilog to achieve UART serial transceiver. State machine form, adjustable baud rate)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:3265536
    • 提供者:zhaodameng
  1. vendor

    0下载:
  2. 售货机的verilog模块设计及测试,简单状态机。(Verilog module design and test of vending machine, simple state machine.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:12288
    • 提供者:zbw
  1. new.v

    1下载:
  2. 状态机写的axi slave,模式较少,基本功能齐全,轻便,仿真综合通过(AXI4 slave programmed by state machine approach)
  3. 所属分类:其他

    • 发布日期:2019-10-25
    • 文件大小:1024
    • 提供者:风城复辟
  1. SPI接口Verilog实现

    1下载:
  2. 里面有主机发送模块和从机接收模块。主机发送32位16进制数(一位一位发送),工作在模式0。压缩文件内代码可直接运行,另附上testbench文件可以进行modelsim仿真。此代码根据论坛里一位大哥的代码改编,后来找不到是谁了。。。使用状态机编写主机的发送模块,由于项目仅仅需要主机发送所以从机的接收模块没有写成32位的,但是代码风格清晰,可以直接修改,复写率极高且非常好理解!
  3. 所属分类:VHDL编程

« 1 2 3 4 56 7 8 9 10 ... 14 »
搜珍网 www.dssz.com