CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog codes

搜索资源列表

  1. Verilog-codes-for-common-use

    0下载:
  2. 包含了几乎所有常用的Verilog的代码,方便所有初学者学习-It includes most codes of Verilog for common use and it is convenient for green hands
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:68426
    • 提供者:chenkun
  1. verilog--serial-port-communication

    0下载:
  2. 自己看了很多材料以后,精心整理的串口通信实验原理和指导,在网上找了很多代码,大部分因为没有很好的注释,看起来很头疼,于是自己写了一份,附带详细的注释,在modelsim仿真器上已经得到验证,现在传上来,仅供参考。-verilog codes for serial port communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:140705
    • 提供者:chenkun
  1. Viterbi-verilog-codes

    0下载:
  2. viterbi的无线局域网802.11协议接收端重要的一步。该资料为viterbi的verilog代码,它占用的资源相对比较低,而性能又高。-the viterbi wireless LAN 802.11 receiving end the important step. The viterbi verilog code, it takes up resources is relatively low, and high performance.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:148773
    • 提供者:陈佳华
  1. verilog-codes-for-booth2

    1下载:
  2. 由verilog编写的采用booth2编码的16*16乘法器-a 16*16 multiplier with booth2 coding by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13618
    • 提供者:pyc
  1. risc-4-way-lru-processor-verilog

    1下载:
  2. A RISC processor written in verilog codes.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:95321
    • 提供者:gnuhcyee
  1. verilog-codes

    0下载:
  2. verilog 初学资料,很详细,很基础,一步一步学习,效果很好-verilog beginner information, very detailed, very basic, step by step learning, with good results
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2107867
    • 提供者:xy
  1. verilog-codes

    0下载:
  2. xor code in verilog. can be used for fpga developement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3213
    • 提供者:pankaj
  1. codes

    0下载:
  2. 5 simple verilog codes: Arithmetic.v - arithmetic operations on verilog Accumulator.v - 8 bit adder accumulator counterfpga.v - 4 bit up counter w/ fpga code UpDown3.v - 4 bit Up-down counter w/fpga code pattefier.v - pattern/sequence ident
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2332
    • 提供者:Rj
  1. MATLAB-and-Verilog-codes

    0下载:
  2. there are 5 files. the first two codes are written in Matlab as m-files in control system design to show step responses. in contrast, the final three codes are written in verilog ( Quartus II) used in Altera one of them for BCD adder and the other fo
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2147
    • 提供者:YAZEN H
  1. verilog

    0下载:
  2. BASIC VERILOG CODES -BASIC VERILOG CODES ..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6270
    • 提供者:guruprasad sp
  1. codes

    0下载:
  2. my codes....................................................................................................
  3. 所属分类:VHDL编程

    • 发布日期:2015-10-19
    • 文件大小:1776
    • 提供者:hasan110904
  1. Verilog-IIC-read-MPU6050-Filter

    0下载:
  2. 本代码实现了读MPU6050 三轴6个数据,用其中的GY和AZ、AX结合融合滤波算法,解出X单轴角度,并在黑金开发板的EP4C15F17C8芯片上调试成功,±5°范围内LED灯灭,左右摆动时相应左右灯亮。 顶层模块每隔5ms,发出一个is_read高电平,下面的模块读取一次数据,并计算,更新LED状态。有关计算都用的ip核,占用资源很大。希望对小小小小白有所帮助。 -Verilog codes read 6 axis data of MPU6050, and use GY AZ AX w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9502009
    • 提供者:魏溢
  1. Verilog-codes-on-various-logical-functions

    0下载:
  2. Useful verilog programs on various logical functions like D Flip-Flop, DSP butterfly unit, Multiplexers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:399360
    • 提供者:Dennis
  1. aes

    0下载:
  2. AES in verilog codes
  3. 所属分类:加密解密

    • 发布日期:2017-12-21
    • 文件大小:28672
    • 提供者:Ni Ni
  1. des

    0下载:
  2. DES in verilog codes
  3. 所属分类:加密解密

    • 发布日期:2017-12-27
    • 文件大小:11264
    • 提供者:Ni Ni
  1. verilog

    0下载:
  2. 里面包括乘法器等多个verilog编码整理,大多数的编码应该都在内(It includes multiple Verilog coding collation, such as multiplier, and most of the codes should be included)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:5120
    • 提供者:羽霜梦琳
  1. Assignment-1.3.tar

    0下载:
  2. verilog codes for different basic digital circuits elements
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:38912
    • 提供者:guy03
  1. Assignment-2.1.tar

    0下载:
  2. verilog codes for different basic digital circuits elements new
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:34816
    • 提供者:guy03
  1. New folder

    0下载:
  2. verilog codes for counter,d flipflop,fibonacci series,prime numbers,top.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:3072
    • 提供者:sanh
  1. SSI_Library

    0下载:
  2. SSI library, Logic gates verilog codes
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:256000
    • 提供者:akoleyte
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com