CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl CLOCK

搜索资源列表

  1. timer

    0下载:
  2. VHDL语言设计的数字钟 具有时分秒三段显示-VHDL language designed with time-accurate digital clock shows three paragraphs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1335
    • 提供者:孙明
  1. digital_clk

    0下载:
  2. 此程序是实现数字钟的,包括校时 闹钟 二十四小时和十二小时的转换-This procedure is to achieve digital clock, including the school alarm clock 24 hours and 12 hours the conversion
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:576692
    • 提供者:daigunagzhi
  1. uart

    0下载:
  2. 串口通讯rs232,时钟频率为40Mhz,波特率为19200,没有奇偶校验,在xilinx XC3S200A板子上验证过.-Serial communication rs232, clock frequency of 40Mhz, the baud rate to 19200, no parity, in the board on xilinx XC3S200A verified.
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:6709
    • 提供者:zhangjiansen
  1. clock

    1下载:
  2. 数字系统设计报告,多功能电子钟,显示年月日星期时分秒,及校时等功能-Digital system design report, multi-functional electronic bell, show date when the minutes and seconds a week, and school functions when
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:133946
    • 提供者:李凯
  1. filter

    0下载:
  2. 时钟滤波器设计,可进行毛刺去除,有需要可依进行参考设计-Clock filter design can be carried out burr removed, there is a need-based reference design
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-13
    • 文件大小:1992
    • 提供者:lee
  1. EDA

    0下载:
  2. 基于VHDL语言,用Top_Down的思想进行设计的数字钟。-Based on the VHDL language, using design thinking Top_Down the digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:207404
    • 提供者:liyongfeng
  1. clock

    0下载:
  2. 这是一个用VHDL语言编写的数字电路程序,仅供学习参考。-This is a language with VHDL digital circuit procedures, only to learn the reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3909
    • 提供者:lesslie
  1. VHDL

    0下载:
  2. VHDL----语言仿真闹钟设计-Simulation language VHDL---- Alarm Clock Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:295859
    • 提供者:dupc
  1. Digital_Clock_VHDL

    0下载:
  2. 使用VHDL开发的简易数字时钟软件,可以作为初学者熟悉定时器应用的实例程序。-Use VHDL to develop a simple digital clock software can be used as timers for beginners familiar with examples of the application process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1372550
    • 提供者:luoshsh
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. clock

    0下载:
  2. 用VHDL语言写的实时时钟 用数码管显示 基于的控制芯片是EP1C6Q24C08-VHDL language used to write the real-time clock with digital display are based on the control chip EP1C6Q24C08
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:353056
    • 提供者:周到
  1. timer

    0下载:
  2. 淺顯易懂的學習verilog程式基礎範例以時鐘為示範-Learn easy to understand the basic Verilog code for an example of a clock model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1402
    • 提供者:劉季泓
  1. led

    0下载:
  2. 用一个按钮开关循环控制四个led灯的闪烁方式,输入时钟10MHz,闪烁频率1Hz-Button switch with a four cycle control lights flashing led the way, the input clock 10MHz, blinking frequency of 1Hz
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:liyinghe
  1. clk

    0下载:
  2. 通过一个主时钟信号完成异步FIFO读写时钟信号的产生。编译通过实现功能。-Through a master clock signal the completion of asynchronous FIFO read and write clock signal generation. Compiler through the implementation function.
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:30061
    • 提供者:ouping
  1. clock

    0下载:
  2. vhdl 电子钟 计时 上下午 整点报时-VHDL Electronics afternoon bell time on the whole point timekeeping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1152436
    • 提供者:章鱼
  1. AIC

    2下载:
  2. 使用FPGA/CPLD设置语音AD、DA转换芯片AIC23,FPGA/CPLD系统时钟为24.576MHz 1、AIC系统时钟为12.288MHz,SPI时钟为6.144MHz 2、AIC处于主控模式 3、input bit length 16bit output bit length 16bit MSB first 4、帧同步在96KHz-The use of FPGA/CPLD set voice AD, DA conversion chip AIC23, FPGA/
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-13
    • 文件大小:1582
    • 提供者:张键
  1. sysfp

    0下载:
  2. 完成从SDH telecom bus的38Mhz*4系统时钟和复帧提取出SDH的telecom bus的C1j1,spe,au指针 ,H4位置等SDH帧结构-SDH telecom bus from 38Mhz* 4 the system clock and rehabilitation SDH frame to extract the telecom bus of C1j1, spe, au pointer, H4 location SDH frame structure
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-03-26
    • 文件大小:895
    • 提供者:leon
  1. barrel_shifter

    1下载:
  2. VHDL实现的桶型移位器,能在一个时钟周期实现对数据的(0-12位)算术右移-VHDL implementation of a barrel—shifter, able to achieve at one clock cycle of data (0-12 bit) Arithmetic Shift Right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:810
    • 提供者:过时无双
  1. DES_IP

    0下载:
  2. 有效的改进3-DES算法的执行速度,采用了多级流水线技术,设计了一种高速的硬件结构,使得原来需要48个时钟周期才能完成的运算,现在只需要一个时钟周期就可以完成。另外通过增加输入/输出的控制信号。使得该IP可以方便的集成到SOC中,大大缩短了SOC的设计周期。-Effective 3-DES algorithm to improve the implementation of speed, multi-stage pipeline technology, designed a high-speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:23417
    • 提供者:charity
  1. LVDS_DDR_List_FPGA2

    1下载:
  2. FPGA芯片与ADI公司的AD9779之间的通信,总共有四个通道,68对LVDS,采样时钟是122.88MHz-FPGA chips ADI' s AD9779 and communication between, a total of four channels, 68 pairs of LVDS, the sampling clock is 122.88MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-18
    • 文件大小:808607
    • 提供者:linpingping
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 46 »
搜珍网 www.dssz.com