CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl pwm

搜索资源列表

  1. ex3

    0下载:
  2. FPGA控制的电机驱动VHDL代码,可实现正转,反转,启动,停止。并可以实现PWM调速。代码中预留了控制接口,可方便完成上述功能的实现。- The code is for driver based on FPGA. It can realize the function of start, stop, speed adjust.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:400714
    • 提供者:zhuang
  1. huxi

    0下载:
  2. 基于VHDL设计四个频率不同的呼吸灯,呼吸频率分别为 0.1Hz,0.2Hz,0.4Hz,0.8Hz 呼吸灯原理:利用PWM波控制led的亮度,的 原始代码 quartus软件亲测可用。-VHDL-based design in four different frequencies breathing light, breathing frequency was 0.1Hz, 0.2Hz, 0.4Hz, 0.8Hz breathing light principle: the use PWM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:810
    • 提供者:司维
  1. protect1.3-clpd

    0下载:
  2. pwm死区保护最小脉宽程序vhdl语音,自己编程,课题中也使用,希望大家下载-pwm dead zone protection program vhdl minimum pulse width of voice, their own programming, also used in the subject, I hope everyone downloads
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13986705
    • 提供者:gigi
  1. pwm_vhdl

    0下载:
  2. pwm的vhdl相关程序,可利用其调节占空比实现DA转换的,还有其他功能也能实现-pwm of vhdl procedures, you can use it to adjust the duty cycle to achieve DA conversion, as well as other features can also be achieved
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:709875
    • 提供者:杨锦钊
  1. pwm_ok_PWM

    0下载:
  2. 用VHDL实现占空比任意可调的PWM产生器。(程序逐行注释),有仿真图。PWM,即Pulse-Width Modulation 脉宽调制,常用于电机的控制中。-Using VHDL adjustable duty cycle of PWM generator. (Progressive program notes), a simulation map. PWM, i.e. Pulse-Width Modulation PWM, used to control the motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:177981
    • 提供者:豆传航
  1. arm_FPGA

    0下载:
  2. 步进电机、直流电机PWM控制、伺服电机编码器解码vhdl程序-Stepper motor, PWM DC motor control, servo motor encoder decoder VHDL program
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:25722
    • 提供者:刘文
  1. Servo

    0下载:
  2. VHDL servo control from technique of Pulse Width Modulation (PWM )
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2074
    • 提供者:Mario
  1. Triangle

    0下载:
  2. vhdl 实现三角波输出,分辨率可调,与比较器连用可以实现PWM输出-VHDL generic Triangle,ENTITY Triangle IS port( rst : in std_logic clk : in std_logic tri_data:out std_logic_vector(7 downto 0) ) end Triangle
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:587
    • 提供者:zpf
  1. pwm_auto

    0下载:
  2. PWM for VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:721
    • 提供者:khefin
  1. DCsources_

    0下载:
  2. PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
  3. 所属分类:DSP program

    • 发布日期:2017-04-27
    • 文件大小:24666
    • 提供者:hieu
  1. PWM_IP_test

    0下载:
  2. zynq-7000开发板 PWM IP核(VHDL和Verilog)-zynq-7000 PWM IP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5279536
    • 提供者:朱红岗
  1. RTL

    0下载:
  2. PWM controller in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3919
    • 提供者:spydeeps
  1. PWMhuxideng

    0下载:
  2. VHDL语言编写的三总不同频率呼吸灯。使用PWM波控制呼吸频率。-VHDL language three total breathing light at different frequencies. Use PWM wave control breathing frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:915
    • 提供者:cuipeng
  1. bldc_motor_control_design_example

    6下载:
  2. 无刷直流电机 VHDL VERILOG 控制,速度环,RS232 串口接收发送 始终分频 PWM生成 电机相序 actel FPGA使用-VERILOG BLDC control of the use of actel FPGA- actel VERILOG BLDC control of the use of actel FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:741243
    • 提供者:
  1. delay

    0下载:
  2. PWM整流器的死区延迟的VHDL编程,可以参考一下-VHDL programming PWM Rectifier dead-band delays
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:997
    • 提供者:
« 1 2 ... 4 5 6 7 8 9»
搜珍网 www.dssz.com