CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 -

搜索资源列表

  1. FFT16

    4下载:
  2. 基于FPGA的16点FFT快速傅立叶变换的Verilog源代码。-the FFT implement of Verilog based on FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2282
    • 提供者:lsd
  1. m序列

    3下载:
  2. Verilog编写的M序列发生器,希望能对大家带来帮助。 -Verilog prepared by the M-sequence generator, we hope to bring help.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4913
    • 提供者:张林
  1. jtag_verilog

    0下载:
  2. verilog 实现的jtag ip模块 包括了测试程序-Verilog achieve the JTAG ip modules including test procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6047
    • 提供者:陈俊
  1. C_16450_edit

    0下载:
  2. 16450异步通讯接口,ALDEC提供,修正版(由网友zhy修改,修正一些错误-16450 asynchronous communications interface, providing ALDEC, the revised version (from netizens. Changes amendments to some errors
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:7024
    • 提供者:梁启
  1. RD1006

    0下载:
  2. VHDL编程 : out std_logic -- Transmitter control DataBits : in std_logic_vector(1 downto 0) StopBits : in std_logic_vector(1 downto 0) ParityEnable: in std_logic ParityEven : in std_logic ParityStick : in std_logic TxBreak
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:18460
    • 提供者:谢强
  1. control0

    0下载:
  2. systemverilog编写的cpu读写mem程序-SystemVerilog prepared by the cpu readers mem procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1017
    • 提供者:王晓波
  1. fifo0

    0下载:
  2. systemverilog编写的fifo例子-SystemVerilog examples prepared by the fifo
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:923
    • 提供者:王晓波
  1. SVDrink

    0下载:
  2. Systemverilog 编写的贩卖机代码-Systemverilog preparation for the sale code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7445
    • 提供者:开开
  1. statemachine_mult

    0下载:
  2. veilog实现的状态机乘法器.可以参考-veilog achieve the state machine multiplier. Can reference
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:436406
    • 提供者:陶玉辉
  1. xapp195

    0下载:
  2. signed_mult乘法器通常用于DSP设计。但由于赛灵思的FPGA架构中包含有-signed_mult multiplier is used DSP design. But Xilinx FPGA architecture contains
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:7535
    • 提供者:ldy
  1. 20060215

    0下载:
  2. 叮叮-replaced behind the horn behind the horn behind the horn behind
  3. 所属分类:组合框控件

    • 发布日期:2008-10-13
    • 文件大小:34233
    • 提供者:mousezhong
  1. Verilog_add4

    0下载:
  2. add4 verilog code -add4 Verilog code
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1390
    • 提供者:zhang chi
  1. dct_mac

    0下载:
  2. dct verilog code for image -Extra Verilog code for image
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1916
    • 提供者:zhang chi
  1. trafficontrol

    0下载:
  2. 使用verilog编写的交通灯控制程序,各方向通行时间可调,绿灯5s闪烁,在maxplus下调试通过,附仿真波形,在EP系列实验板上测试成功-use Verilog prepared by the traffic lights control procedures, the passage of time adjustable direction, green 5s flickered in maxplus under debugging, simulation waveforms with t
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:113694
    • 提供者:礼拜
  1. verilog_lcd

    0下载:
  2. 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:424058
    • 提供者:yhr
  1. Altera_uart_VHDL

    0下载:
  2. FPGA/CPLD应用,uart通讯VHDL原码.-FPGA / CPLD applications, UART communications VHDL source.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:11063
    • 提供者:cyberworm
  1. Altera_uart_Verilog

    0下载:
  2. FPGA/CPLD应用,uart的Verilog HDL原码-FPGA / CPLD applications, UART Verilog HDL source
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:10421
    • 提供者:cyberworm
  1. clock2001

    0下载:
  2. 时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:822
    • 提供者:dandan
  1. crcDecode

    0下载:
  2. 比较完善的CRC编码VerilogHDL描述-more perfect descr iption of CRC coding VerilogHDL
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4640
    • 提供者:nil
  1. PAOBIAO_V

    0下载:
  2. 带音乐功能的跑表VerilogHDL描述-music with the stopwatch Verilog HDL descr iption
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4855
    • 提供者:nil
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com