CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 低通滤波

搜索资源列表

  1. sx_ad50

    0下载:
  2. 基于5402和AD50的DSP低通滤波程序,可直接下载-5402 and AD50 in the DSP-based low-pass filtering process, can be downloaded directly
  3. 所属分类:DSP program

    • 发布日期:2017-04-07
    • 文件大小:8063
    • 提供者:石旭
  1. lms

    0下载:
  2. ti-tms320c54x 低通滤波,在ti的CSS 2.0上通过-ti-tms320c54x low-pass filter in the CSS 2.0 via ti
  3. 所属分类:DSP program

    • 发布日期:2017-04-15
    • 文件大小:7344
    • 提供者:liyucheng
  1. ADC_voice-signal-capture

    0下载:
  2. 利用定时器触发ADC采集语音信号,存储到FLASH中,读回后用SPWM输出,经过低通滤波后可以还原出原始信号波形,效果还不错-Use a timer to trigger the ADC capture the voice signal stored in the FLASH, read back with SPWM output after low-pass filtering can restore the original signal waveform, the results were
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1483304
    • 提供者:
  1. fir-c

    0下载:
  2. fir低通滤波程序,处用冲激响应函数,实现对信号的低通滤波。-fir low-pass filtering process, at the use of impulse response functions, to achieve a low-pass filtering the signal.
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:740
    • 提供者:李卫东
  1. fir5402_ccs2

    0下载:
  2. CCS汇编实现FIR滤波器,38阶对称结构低通滤波-dsp,use Code Composer Studio TO RALIZE FIR-filter
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:12197
    • 提供者:ronnie
  1. exp02_cpu2

    0下载:
  2. ccs实现低通滤波~~~~本程序实现了DSP开发板下用仿真环境实现低通滤波的功能~- CCS realize low pass filtering ~ ~ ~ ~ this application implements DSP under development board with simulation environment realize low pass filter function ~
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:48019
    • 提供者:fk
  1. DDSKeyAndLcd

    0下载:
  2. 基于SPCE061A的DDS 步进20Hz,两路输出,相位差可调,频率可到20多K,加上低通滤波,效果还不错.完全达到03年,电赛"相位测量仪"发挥部分波形发生器的要求. 程序中,频率初始值,相位差初始值通过键盘输入,液晶是KS0108的驱动芯片,如果液晶不一致,需要做相应的调整.-DDS base on SPCE061A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:80760
    • 提供者:
  1. EMP7128S

    0下载:
  2. EMP71285 CPLD实现三通道24位计数器,程序为.VHDL语言实现,同时程序中含有低通滤波算法。-EMP71285 CPLD implementation of three-channel 24-bit counter, the program for the VHDL language, the program contains a low-pass filtering algorithm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:270458
    • 提供者:绍文
  1. yuyinxinhaochuli

    1下载:
  2. 对一段语音信号进行加噪处理,然后用低通滤波、带通滤波、高通滤波对其进行处理,程序完美运行,但要注意音频文件的路径问题,否则运行会报错!-A voice signal plus noise, then low-pass filter, band pass filter, high pass filter processing, the program works perfect, but to pay attention to the audio path to the file, otherwi
  3. 所属分类:DSP program

    • 发布日期:2017-05-15
    • 文件大小:3714930
    • 提供者:李晓飞
  1. DVR

    0下载:
  2. 该文提出了基于线电压补偿的三相动态电补偿器拓扑结构给出了补偿算法及控制方式 。解决了锁相电压不平衡补偿电压有效利用 低通滤波电压泵升等技术问题通过动态电压波动补偿示例. -DVR_NOTHE CHINA ELECTRECPOWER UNIVERSITY
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:616590
    • 提供者:wangliguo
  1. halfbandfiliter

    0下载:
  2. 数字信号处理半带滤波器,实现信号2倍上采样和低通滤波,16bit位宽数据-Digital signal processing the half-band filter, to achieve a signal 2 times up-sampling and low pass filtering, 16bit bit data wide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:2217
    • 提供者:Qiankun MO
  1. rc_flt

    0下载:
  2. 基于FPGA实现的64阶升余弦FIR并行滤波器,采用iso18000.6c标准实现,具有很好的低通滤波效果,已通过后仿上板验证,采用verilog语言实现。-64 order raised cosine FIR FPGA-based parallel filters, implemented using iso18000.6c standard with a low-pass filtering effect imitation on the board has passed validatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:3642
    • 提供者:小梦
  1. Self-Balancing-Robot

    0下载:
  2. 建模、控制算法及PD调节的取值,可以用来改成遥控输入,比例遥控可以用AD采样口实现,但需要增加滤波;车轮速度我进行了低通滤波,否则可能会发抖;-Modeling, control algorithm and PD adjustment values ​ ​ can be used to change the remote input proportional remote control can use the AD sampling port, but the need t
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:1513593
    • 提供者:
  1. fir

    0下载:
  2. 基于FPGA的低通滤波器的设计,仿真环境是QuartusII9.0。对信号进行低通滤波,编程成功。希望对大家有所帮助-FPGA-based low-pass filter design, the simulation environment QuartusII9.0. The signal is low-pass filtering, the programming was successful. We hope to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:342980
    • 提供者:二妮子
  1. xiangpintexin

    0下载:
  2. 本作品以MSP430单片机为核心, 基于DDS原理,通过查表方式输出步进量为10HZ,频率为100HZ~2KHZ的正弦波。两路被测信号通过过零比较、异或和低通滤波整流,实现了对相位差的测量,误差小于-This works with MSP430 microcontroller as the core, based on DDS principle, by look-up table output step sizes for the 10HZ, frequency of 100HZ ~ 2KHZ
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:652248
    • 提供者:张远进
  1. ditong

    0下载:
  2. DSP写的低通滤波,每60个数去一下平均数,前面加一个数后面减一个数,总是60个数的平均值,验证好用。-DSP write low-pass filter, go to the average number of every 60, preceded by a number less behind a number, the average number is always 60, verification use.
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:1393
    • 提供者:邵春伟
  1. Low-passfilter

    0下载:
  2. 低通滤波程序,通过傅里叶变换实现有一定相位差的滤波,滤波截止频率可设。-Low-passfilter program,
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:407278
    • 提供者:丁皓
  1. DITONGLBOQI

    0下载:
  2. 在 惯 性 导 航 系 统 里 往 需 要 对 陀 螺 仪 和 加 速 度计进 行 性 能测 试 , 这 时就 要设 计一 种 测 试 它 们 的 滤 波器 。 滤 波器 从 功 能 上 可 分 为 四种 低通 、 高通 、 带 通 、 带 阻 滤 波 器 。 文 中所 要 研 究 的低 通 滤 波器 是 通 过 低 频 信号 而 抑 止 高频 信号 的滤 波器 , 巴 特 沃 思 滤 波器 是 最 简 单 的低通 滤波 器
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:229001
    • 提供者:科技园
  1. FIR_lowpass

    0下载:
  2. FIR低通滤波功能,可实现低频段滤波,效果良好-FIR low-pass filtering function can achieve low frequency filtering, to good effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:569
    • 提供者:李佩青
  1. MAX262.c

    0下载:
  2. 程控滤波器的程序,可以实现高通滤波和低通滤波,中心频率可调。-Programmable filter program, you can achieve high-pass filter and low-pass filter, the center frequency is adjustable.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1499
    • 提供者:
« 1 2 34 5 6 »
搜珍网 www.dssz.com