CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 控制系统仿真

搜索资源列表

  1. VirtualSerialPortEmulation.rar

    0下载:
  2. 虚拟串口仿真,得利用proteus软件 其中有一个虚拟串口连接软件,可以把电路仿真软件中的串口与计算机软件的串口连接起来,实现软件控制虚拟的硬件系统,Virtual serial port emulation, proteus software may use one to connect the virtual serial port software circuit simulation software to the serial port and serial port of compu
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:2758050
    • 提供者:libin
  1. Calibration

    2下载:
  2. 一种上位机在线标定系统,系统以虚拟仪器技术为核心,融合了单片机技术,现场总线技术,实时控制技术,CCP技术。对CAN总线上的智能仪表进行全方位自动化的标定,设置,控制等。同时还可以对远程仪表在线升级,在线仿真。完成工业生产线上仪器的快速调试及集中统一管理。-Online calibration of a PC system, a virtual instrument technology at the core, the convergence of single-chip technology
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-23
    • 文件大小:92143
    • 提供者:jiji
  1. jiyu-FPGA-dianziqin

    0下载:
  2. 1) 主芯片:Altera 的FLEX10K20TC144-4 STC89C58RD+。 2) 要求扩展键盘接口电路,可以实现电子琴的一般功能,进行乐曲的手动演奏,此外还应该具有存储功能,可以将演奏的乐曲进行存储并在人工控制下进行回放。 3) 完成系统方案设计。 4) 编制相应的VHDL程序并进行相应的仿真工作,完成系统的调试工作。 5) 编写51系统程序,完成初始化、系统控制等功能。 6) 利用51系统实现系统的在线配置。 7) 发挥部分 可以进行乐曲的自动演奏。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68339
    • 提供者:任大志
  1. PWM-motor

    2下载:
  2. 采用51单片机PWM方式控制直流电机转速,闭环系统,转速采用数码管显示,采用PROTEUS仿真-With 51 single-chip PWM control of DC motor speed, closed-loop system, the use of digital speed display, using PROTEUS simulation
  3. 所属分类:SCM

    • 发布日期:2015-07-01
    • 文件大小:76800
    • 提供者:陈永煌
  1. doc1

    0下载:
  2. 用于无线传感器网络的节能路由模型与仿真 马 震,刘 云,沈 波 (北京交通大学通信与信息系统北京市重点实验室,北京100044) 摘 要:针对多跳无线传感器网络中传感器节点能耗不均衡的问题,本文提出了一种节能路由模型 EER ,并对模型进行了分析与仿真. EER 在网络中动态划分节点簇,动态建立簇头节点到sink 点的 多跳路由,通过非线性算法控制节点簇的尺寸,采用局部信息汇聚与汇聚信息多跳传递相结合的方 式向sink 点传递数据,从而达到平衡节点能耗的目的. 仿真结果表
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:296300
    • 提供者:ge
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocesso
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:434672
    • 提供者:li
  1. 5

    0下载:
  2. 单片机控制的步进电机系统,包含keil51仿真和DXP原理图。-Single-chip stepper motor control system, including simulation and keil51 schematic DXP.
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:17064
    • 提供者:mb
  1. hardware

    0下载:
  2. 。整个系统涉及车模机械结构调整、传感器电路设计及信号处理、控制算法和策略优化等多个方面。为了提高智能赛车的行驶速度和可靠性,对比了不同方 案的优缺点,并结合Labview 仿真平台进行了大量底层和上层测试,最终确定 了现有的系统结构和各项控制参数。 -In this article, we will demonstrate a total intelligent vehicle system scheme prepared for the third session of the F
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:68614
    • 提供者:田芳怡
  1. verilog

    0下载:
  2. Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。   Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:32106713
    • 提供者:杨恩源
  1. CHAP9_1

    0下载:
  2. 此代码为伺服系统低速摩擦条件下PID控制仿真代码-This code for the servo system under the conditions of low friction PID control simulation code
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:805
    • 提供者:王科
  1. jishi

    0下载:
  2. 本项目设计采用了6位数码管显示电路,在设计6位LED显示时,为了简化电路,降低成本,采用动态显示的方式,6个LED显示器共用一个8位的I/O,6位LED数码管的位选线分别由相应的P2.0--P2.5控制,而将其相应的段选线并联在一起,由一个8位的I/O口控制,即P0。译码显示电路将“时”,“分”,“秒”计数器的输出状态经显示译码器译码,通过6位LED七段显示器显示出来。达到定时电路时根据计时系统的输出状态产生的一个脉冲信号,然后去触发蜂鸣器发生器来实现闹铃。校时电路是用来对“时”,“分”,“秒”
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:21353
    • 提供者:David
  1. wendubaojing

    0下载:
  2. 温控报警系统,proteus仿真单片机控制-Temperature alarm system, proteus simulation MCU
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:50572
    • 提供者:需求为
  1. TDvedynausermanual

    0下载:
  2. ve-DYNA® 为用户提供了车辆动力学、车辆非线性行为的可配置仿真模型。用户根据自己的工程问题选择合适的车型(轿车,货车,拖车)和适当的版本(低级,标准,高级)就能实现不同的应用。用户基于模型就能开发自己的控制算法或者部件,然后通过离线仿真和硬件在回路仿真来进行检验和验证。只需要进行鼠标键盘的操作,就可以对种种的动力学问题进行分析,比如悬架动力学,车辆动力性或操纵稳定性。这样 就 能够减少昂贵而且费时甚至是危险的实车试验。可以在无人监控的情况下完成整个的测试、优化和系统验证 。本文为v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4251990
    • 提供者:dd
  1. 2

    0下载:
  2. 单相准Z 源逆变器及其SVPWM 控制策略研究 冷志伟, 齐琛, 陈希有 (大连理工大学,辽宁大连116024) 摘要:主要研究了一种新型拓扑结构的Z 源逆变器,即单相准Z 源逆变器。分析了其等效电路及其运行状态,并将空 间矢量脉宽调制(SVPWM)技术应用到单相准Z 源逆变器中,着重分析了调制的基本原理并给出了单相准Z 源逆变 器基于SVPWM 的控制策略。仿真和实验结果表明,相对常规Z 源逆变器,准Z 源逆变器能够有效抑制启动冲击电 流,显著降低Z 源网络中电容器件的
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:205346
    • 提供者:赵刚
  1. fsaf

    0下载:
  2. 确定在设计一个基于C51单片机的多功能电子钟的仿真系统之后设计一个用单片机控制的温度控制电路并与上位机进行通信,在时间充裕时还可以再设计多一个基于ARM7的仿真系统或其他的仿真系统。填写任务书,指定好完成设计任务的时间表,并定期跟导师联系-C51 determine the design of a microcontroller-based multi-functional clock, after the simulation system to design a temperature co
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:197861
    • 提供者:文思如
  1. danpianji

    0下载:
  2. 单片机学习课件 共21个文件 第1讲 单片机概论 第2讲 单片机系统的电路基础 第3讲 单片机应用系统设计 第4讲 MCS—51系列单片机的硬件结构 第5讲 MCS51系列相关内存软件程序的设计与仿真 第7讲 51系列并行输入输出端口(通用IO)控制 第8讲 定时器计数器 第10讲 中断(1)-MCU learning courseware total of 21 documents
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-30
    • 文件大小:12797060
    • 提供者:李灿
  1. Fpga_And_Cpld

    0下载:
  2. Fpga_And_Cpld设计经验总结,在数字电路的设计中,时序设计是一个系统性能的主要标志,在高层次设计方法中,对时序控制的抽象度也相应提高,因此在设计中较难把握,但在理解RTL电路时序模型的基础上,采用合理的设计方法在设计复杂数字系统是行之有效的,通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高,并且系统的工作频率可以达到一个较高水平。-In digital circuit design, timing design is a main indicator of system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1444103
    • 提供者:枫蓝
  1. Photovoltaic-Inverter-BASED-2812dsp

    2下载:
  2. 针对光伏并网发电系统中关健部件—逆变器的结构设计与控制方法研究进行了详细分析 和阐述。从电网、光伏阵列以及用户对逆变器的要求出发, 分析了各种不同的逆变器拓扑结构与控 制方法, 比较其运行效率和控制效果。对于本文提出了一种基于全桥变换器的正弦波逆变电源的整体设计 方案。文章首先对系统设计中将使用到的DC/DC变换器,DC/AC变 换器以及PWM控制技术在理论上进行了深入的研究。并讨论了数字 式PID控制算法的实现和在系统中的应用,还针对这种基于全桥变换 器的正
  3. 所属分类:DSP编程

    • 发布日期:2014-01-10
    • 文件大小:5882
    • 提供者:fmx
  1. UHF-RFID-CRC

    1下载:
  2. 本文首先研究了IsO/IECl8000.6标准中A、B两类短程通讯的前向链路与返回 链路的数据编码方式,对(FMO)双相间隔编码、(PIE)脉冲间隔编码、曼切斯特码 的编解码方式和技术参数进行了深入的分析,并利用FPGA实验平台对这三种编 码的编、解码电路进行了设计和仿真。然后对UHF RFID系统的差错控制技术原理 进行了探讨,重点研究了ISo/IECl8000.6标准中采用的数据保护与校验技术,即 循环冗余校验(CRC)技术。分析了基于线性反馈移位寄存器(LFSR)实现C
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4366124
    • 提供者:HY jian
  1. DSP

    0下载:
  2. 学位论文 DSP控制的三相异步电机空间 矢量调速系统的开发 本文设计了系统整体的控制方案,并在simulink中完成了仿真,以验证方 案的可行性。然后以TMS320LF2407A为控制核心搭建了整体的硬件电路,并进 行了控制程序的编写,并对硬件调试和软件调试中遇到的问题进行了分析和总 结。最后进行上电测试,测试结果表明该系统运行稳定,达到了预期的控制要 求。 -This Paper designs the solution of the system
  3. 所属分类:DSP program

    • 发布日期:2017-05-15
    • 文件大小:3930330
    • 提供者:陈X
« 1 2 ... 5 6 7 8 9 1011 12 13 »
搜珍网 www.dssz.com