CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 状态机

搜索资源列表

  1. Counter_LIUZHIWEI

    0下载:
  2. 同步计数器,利用有限状态机完成,能够完成000-999的加计数以及减计数功能-Synchronous counter which using finite state machine and able to complete the 000-999 plus count as well as the count function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:14134
    • 提供者:Jim
  1. 315M_Window_HW10_FW10_2014

    1下载:
  2. 315M遥控智能窗户源代码,PIC16F722A的MCU,MPLAB开发平台,带串口,软定时,窗户状态机切换。对PIC开发者有所帮助。-315M contactless smart windows source code, PIC16F722A the MCU, MPLAB development platform, with serial, soft timing state machine switches windows. PIC developers for help.
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:71829
    • 提供者:moscc
  1. quartus

    0下载:
  2. 流水灯状态机的一段式描述和二段式描述还有三段式描述的Verilog源码-Light water section of the state machine and the two-stage type descr iption descr iption descr iption of Verilog source code as well as three-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6657585
    • 提供者:刘佳明
  1. finite-state-machine-design

    0下载:
  2. 单片机有限状态机的设计技术相关文章资料,状态机设计可以降低循环时间-finite state machine design technongy
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-25
    • 文件大小:8458463
    • 提供者:贺钟
  1. fsm

    0下载:
  2. verilog语言,有限状态机实现的序列检测器-verilog language, finite state machine sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1061
    • 提供者:
  1. VHDL_clock

    0下载:
  2. 运用VHDL写的时钟控制程序,状态机,时钟分频,频率变换。-VHDL clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12178841
    • 提供者:YH
  1. VHDL_music

    0下载:
  2. 运用VHDL基于FPGA的music逻辑控制,运用状态机对音乐播放进行控制,实现音乐的切换,播放,暂停等功能。-VHDL music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5141949
    • 提供者:YH
  1. sicendianti

    0下载:
  2. VHDL实现四层电梯的控制。状态机、编解码器、触发器、比较器。-Four layers of elevator control is realized by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:261881
    • 提供者:徐高兵
  1. RISC_CPU

    0下载:
  2. 这是用verilog写的一个基于状态机的简易RISC_CPU的设计,里面包含各个模块,每个模块经过仿真没有问题,整个工程在板子上经过试验。-This is a verilog to write a simple RISC_CPU based state machine design, which contains various modules, each module through simulation without problems, the whole project tested o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1121160
    • 提供者:冯永帅
  1. spi_flash_VHDL

    0下载:
  2. winbon 的芯片w25p16 驱动,使用VHDL语言,输入时钟为125M,只要稍微修改IDLE里面的跳转状态机就能跳转到各个读写,或是擦除状态。-the chip is winbon w25p16. vhdl language. the sysclk is 125m. it is easy to jump to write , read, or erase status by change idle status.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1639
    • 提供者:钱愈玉
  1. AD7606

    1下载:
  2. AD7606的状态机驱动,并口模式,verilog代码,可正常使用。-AD7606 state machine drive, verilog code, can be normal use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2653
    • 提供者:小波
  1. Key

    0下载:
  2. 状态机按键扫描程序,只需在定时中断中每20Ms调用一次就可以了-key scanner demo code,call it every 20 Ms in integer
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1638
    • 提供者:廖其锐
  1. FSM

    0下载:
  2. 经典有限状态机嵌入式C源码,采用事件、状态构成的状态迁移表实现-Standard finite state machine embedded C source code, using the state transition table of events, the state to implement
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1760
    • 提供者:liuxinyong
  1. traffic_control

    0下载:
  2. 使用verilog语言编写的双向交通信号控制灯程序,通过状态机转换实现车行道和人行道功能,以cyclone IV系列开发板做为应用平台。-Verilog language using two-way traffic signal control lights procedures, driveway and sidewalk functions via a state machine transition to cyclone IV Series development board as the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3657494
    • 提供者:郑俊哲
  1. ADC_interface

    0下载:
  2. 在FPGA上编写的通过SPI总线配置外部ADC芯片DADC9653的程序,通过板级调试,验证可用。程序通过状态机实现,将需要配置的寄存器值转为SPI总线的数据格式发送出去。-Configuring External ADC chip DADC9653 through SPI bus program on FPGA written by board-level debugging, verification is available. Program by state machine, will
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3658
    • 提供者:李广
  1. 15_tlc5620dac

    0下载:
  2. 利用状态机实现对tlc5620dac控制,实验时按key1,可选择DAC的通道,数码管1显示,按key2,key3可 输入8位数/模转换值,由数码管3,4显示,按key4,选择输出电压模式,由数码管8显示,0表示1倍,1表示2倍,按key5,将当前数据发送到DAC模块启动一次DA转换,这时可以万用表测量输出,也可以与理论值做下比较。-When using state machine to control the tlc5620dac, experiment by key1, choice o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:676159
    • 提供者:洪趁
  1. machine_etat

    0下载:
  2. 状态机 idle->edge->one -The state machine idle-> edge-> one
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2888
    • 提供者:z
  1. VendingMac

    0下载:
  2. Verilog实现的自动售货机,使用有限状态机进行处理。包括Modelsim和Spnplify的综合工程。-Verilog realize vending machines, using a finite state machine for processing. Including integrated engineering and Spnplify of Modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:238880
    • 提供者:scarab
  1. Sequence-Detector

    0下载:
  2. 利用状态机设计一个序列检测器,用以检测“1101”。用btn[1]和btn[0]作为输入分别代表1和0,输入的当前数字显示在数码管最后一位,每当新输入一个数字,之前输入的数字左移一位,依次显示出最近输入的四位数字,无输入时数码管不显示任何数字。clk时钟需要分频后才可作为检测时钟(建议分频至190Hz),每当检测到序列中有“1101”出现时,led[0]点亮,即数码显示管上显示“1101”时led[0]点亮;当按下btn[2]时恢复初始状态。-The use of a state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4503
    • 提供者:刘东辉
  1. Sequence-Detector

    0下载:
  2. 序列检测器,开写为两个always语句,即为两段式有限状态机。将组合部分中的判断状态转移条件和产生输入再分开写,则为三段式有限状态机。 二段式在组合逻辑特别复杂时适用,但要注意需在后面加一个触发器以消除组合逻辑对输出产生的毛刺 。三段式描述方法虽然代码结构复杂了一些,但是换来的优势是:使FSM做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。-Sequence Detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3490004
    • 提供者:xxl
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com