CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - 竞赛 抢答器 设计

搜索资源列表

  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. Digital_Competition_Responder

    0下载:
  2. 设计一个数字式竞赛抢答器,可以判断第一抢答者,并具备计分功能。-Competition to design a digital answering device, can determine the first answer in person, and have the scoring function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:614266
    • 提供者:刘智虎
  1. qiangdaqi

    0下载:
  2. 使用vhdl语言设计的一个四人参加的智力竞赛抢答计时器。当有某一参赛者首先按下抢答开关时,响应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于100s(显示为0—99),时间显示采用倒计时方式。当达到限定时间时,的发出声响以示警告。 -Using VHDL language design four people to participate in the quiz answer in the timer. When a participa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:192263
    • 提供者:陈小龙
  1. bbb

    0下载:
  2. 基于VHDL的数字竞赛抢答器的设计及其仿真-Based on the figure competition Answer VHDL Design and Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:87233
    • 提供者:孙天雨
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. kcsj

    0下载:
  2. 前言... ... ... ... ... ... ... ... ... ... ... ... ..2 课题一 8路抢答器电路设计........................................2 课题二 数字电子钟设计.............................................5 课题三 交通信号灯控制逻辑电路设计.............................12 课题四 汽车尾灯控制电路..............
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:518613
    • 提供者:林珺
  1. zhiliqiangdaqi

    0下载:
  2. 题目要求设计一个用于智力竞赛的抢答计时器。主持人按下启动钮,开始抢答,参赛方(八方)看到允许抢答信号后分别按不同的抢答按钮参与抢答。一旦其中一方按下按钮,相应的抢答者编号显示在屏幕上,此时若其他按钮按下均无效。若无一人按下按钮,则抢答结束(以后按抢答无效)。③ 若温度值越界则进行声(蜂鸣器)、光(发光二极管)报警; 说明:界限值是自行设定的(26C),其所对应的数字量为十六进制数(0180H)。 (2)使用DS18B20采集温度,采用七段数码管显示当前温度和剩余时间,并和设置的温度进行比较。 (
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:69587
    • 提供者:zhan
  1. sirenqiangdaqi

    0下载:
  2. 设计一个4人参加的智力竞赛抢答计时器。电路具有回答问题时间控制功能。-4 participants to design a quiz answer in timer. Time control circuit has functions to answer questions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5836
    • 提供者:
  1. Intelligence-contest-for-vies

    0下载:
  2. 智能竞赛抢答器的FPGA实现,包括设计要求,设计思路,设计源代码,设计仿真结果。-Responder Smart contest the FPGA implementation, including design requirements, design ideas, design source code, design and simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-27
    • 文件大小:196608
    • 提供者:csh
  1. siluqiangdaqi

    0下载:
  2. 通过VHDL程序设计一个4人参加的智力竞赛抢答计时器,当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 -VHDL programming by a 4 quiz participants answer in timer, when a participant first press the answe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6132
    • 提供者:longking
  1. qiangdaqi

    0下载:
  2. 多路抢答器 VHDL语言设计 抢答器是各类竞赛常用的仪器设备之一,它能快速、准确地判决并显示出第一抢答者。本文作者采用MAXPLUSII 软件和MAX7000S芯片,提出了一种四路抢答器的设计方案。该方案具有判断准确、硬件电路简单、容易实现等优点。 关键字:抢答器 竞争 RS触发器 EDA -Multiple Responder Responder VHDL language design competition of various kinds of equipment used, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:80608
    • 提供者:王天宇
  1. Four-Responder

    0下载:
  2. (1)设计用于竞赛抢答的四人抢答器; .有多路抢答,抢答台数为4; .具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; .能显示超前抢答台号并显示犯规警报; (2) 系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声想起,直至该路按键松开,显示牌显示该路抢答台号; -(1) is designed to answer in the four competition Responder . More way to an
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:8949
    • 提供者:薛静
  1. qiangdafanyingceshiyi

    0下载:
  2. 设计一个用于智力竞赛的抢答器计时器,同时能测试人的反应时间。按启动键,测试开始,2个八段码倒计时开始(如从10秒或20秒倒计时),在随机时间内点亮抢答允许灯,参赛双方分别按各自的抢答按钮参与抢答。一旦其中一方按下按钮,相应的LED显示灯亮,此时若其他按钮按下均无效。若一直计数到零,均无人按下按钮,则抢答结束(以后再按抢答钮无效)。按测试钮,在PC机上显示反应时间XX.XX秒。-Responder timer
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:55446
    • 提供者:肖凌云
  1. 8luqiangdaqi

    0下载:
  2. 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。-Microcontroller as the core design of an 8 Competition Responder: for 8 players or eight team competition, respectively, using 8 button the S0 ~ S7.
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:149426
    • 提供者:王俊
  1. divider

    0下载:
  2. Verilog语言编写分频器,用于数字竞赛式抢答器的设计模块之一-The Verilog language divider for digital contest Responder design module one
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:567
    • 提供者:zhuojun chen
  1. Four-quiz-Responder

    0下载:
  2. 运用VHDL语言实现四路智力竞赛抢答器。抢答器的主要功能模块是是:1、对第一抢答信号的鉴别和锁存功能;2、计分功能。3、数码显示  ;4、答题限时功能。在本设计主要讲述抢答、计分和警告的功能。-Using VHDL language quiz four Responder.Responder main function modules are: 1, for the first answer to identify and latch signal 2, scoring functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:263619
    • 提供者:YCZ
  1. second

    0下载:
  2. 利用Verilog HDL语言进行数字系统设计实现秒表的设计,涵盖原理图设计、文本设计以及进行波形仿真,并有对应的报告。报告中还包括BCD/7段译码集成电路74LS47仿真实验、单管分压式稳定工作点偏置电路仿真实验和8路智力竞赛抢答器电路设计-Use Verilog HDL language design and implementation of digital systems design stopwatch, covering schematic design, text, design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:466275
    • 提供者:文闯
  1. jingsai

    0下载:
  2. 微机原理课程实验应用,竞赛抢答器的设计,文本档-Microcomputer Principle Course Laboratory applications, Contest Responder design, text files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1609
    • 提供者:gl
  1. answer4

    0下载:
  2. 数字式竞赛抢答器 设计一个可容纳四组参赛者同时抢答的数字抢答器 1.能判断第一抢答者并报警指示抢答成功,其他组抢答均无效 2.设计倒计时时钟,若提前抢答则对相应的抢答组发出警报-Digital Race Responder Design a can hold four groups of participants at the same time answering the digital answering machine 1. To determine the firs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5501363
    • 提供者:韩大马
  1. 8人抢答器

    2下载:
  2. 基于AT89C51单片机设计制作一个抢答器,具体要求如下: 1、 设计一个智力竞赛抢答器,可同时共8名选手参加比赛,编号为1、2、3、4、5、6、7、8,各用一个按键。 2、 用一个按键作为主持人总开关,用来控制系统的清零和抢答的开始。 3、 抢答器开始后,数码管开始10秒倒计时,若有选手按动抢答按钮,在LED数码管上显示选手的编号,同时灯亮且伴随声音提示;倒计时完成后,选手不能在抢答;有人抢答成功后,要禁止其他选手抢答;最先抢答选手的编号一直保留到主持人将系统清零。(Based on A
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2020-12-15
    • 文件大小:107520
    • 提供者:zaxqscwdv
搜珍网 www.dssz.com