CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - IDEA

搜索资源列表

  1. AD-converted

    0下载:
  2. 给出了一种最简单的AD转换思路,这一思路可以用在热敏电阻等很多传感器中。-Presents a simple AD converted ideas, this idea can be used in many other thermistor sensor.
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:51199
    • 提供者:吴学锋
  1. 7_4_Key_Long_Short_Moore

    0下载:
  2. 状态机思想之moore状态机 实现按键的长击与短击-Moore state machine state machine to achieve the key idea of a long strike and the short strike
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:35601
    • 提供者:曹中安
  1. Experiment03

    0下载:
  2. 这个功能是按键消抖,通过模块化的建模思想来编程的-This feature is key debounce, modular modeling idea to programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:124567
    • 提供者:george ma
  1. pro481v300ufo

    0下载:
  2. hi new create idea for c and c-hi new create idea for c and c++
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:26541
    • 提供者:suresh
  1. 32x16dianzhenGAME

    0下载:
  2. 第一次自己写关于游戏的代码,学习到蛮多的。。。参考了很多资料,写了这个贪吃蛇。自己做着玩,所以代码没有精炼,没想得太多,只为了实现功能,所以代码比较冗长繁琐导致16K差不多用完了。板子上本来有20个灯,最初想法是想做流水效果点缀的,现在没办法只能以后再点上咯。。。代码和原理图附上。方便有想法要做的朋友-The first to write about the game code, learn to find many. . . A lot of information, wrote this s
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:166944
    • 提供者:www
  1. 3-8-decode

    0下载:
  2. 开发板自带的一些程序,非常适合初学FPGA的同学,大家可以借鉴一下。-Development board comes with some programs, FPGA is idea for beginner students, we can learn it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:174007
    • 提供者:天良
  1. CarkDetecttions

    0下载:
  2. This project proposes a cost effective yet robust solution to the problem of railway crack detection utilizing a method that is unique in the sense that while it is simple, the idea is completely novel and hitherto untested. The paper discusses the t
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:44283
    • 提供者:joe33
  1. NRF24L01

    0下载:
  2. 1、一个16位精度的AD转换模块,采用TI公司生产的ADS1115芯片。能够准确输出思路转换,并且引入中值滤波算法,使输出更加稳定。 2、硬件配置的2.4G无线模块通信。 3、红外光通信程序-1, the precision of a 16-bit AD conversion module, the ADS1115 chip was produced by TI company.Accurately output idea transformation, and the introduc
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:177621
    • 提供者:
  1. exp11

    0下载:
  2. 在掌握可控脉冲发生器的基础上了解正负脉宽数控调制信号发生的原理。熟练的运用示波器观察实验箱上的探测点波形。掌握时序电路设计的基本思想。-On the basis of mastering the controllable pulse generator, the principle of the digital modulation signal of the positive and negative pulse width is understood. Skilled use of osci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:812
    • 提供者:漆广文
  1. shi-jian-pian-suan-fa

    0下载:
  2. 简单的实现 时间片调度算法 思路清晰 可移植 时间片调度算法-Simple implementation of the time slice scheduling algorithm can be a clear idea Time slice scheduling algorithm
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-13
    • 文件大小:1751
    • 提供者:zhengruihui
  1. STC89C51PATMEL-(540E)

    0下载:
  2. 本代码主要是讲解通过STC89C51单片机 模拟IIC总线方式实现IIC通讯,对Atmel电容屏IC的应用,通过1602LCM显示出报出坐标,LCM和CTP的各功能模块已经模块化。思路比较清晰,移植很方便。-This code is mainly to explain the STC89C51 MCU simulation IIC bus and IIC communication, the application of ATMEL IC capacitance screen, through
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:49767
    • 提供者:bao jiang
  1. li

    0下载:
  2. 具有自动避障功能的智能小车的设计与制作(以下简称智能小车),论文对智能小车的方案选择,设计思路,以及软硬件的功能和工作原理进行了详细的分析和论述。经实践验收测试,该智能小车的电路结构简单,调试方便,系统反映快速、灵活,设计方案正确、可行,各项指标稳定、可靠。 -With functions of automatic obstacle avoidance of the design and manufacture of intelligent cars (hereinafter referre
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1530
    • 提供者:李晓东
  1. demo

    0下载:
  2. 交流电动机的矢量控制(Transvector Contrl)理论,从理论上解决了交流电动机转矩的高性能控制问题。其基本思想是在普通的三相交流电动机上设法模拟直流电动机转矩控制的规律,在磁场定向坐标上,将电流矢量分解成产生磁通的励磁电流分量 和产生转矩的转矩电流分量 ,并使两分量互相垂直,彼此独立,然后分别进行调节。这样,交流电动机的转矩控制,从原理和特性上就与直流电动机相似了。因此,矢量控制的关键仍是对电流矢量的幅值和空间位置的控制。-AC motor vector control (Trans
  3. 所属分类:DSP program

    • 发布日期:2017-05-05
    • 文件大小:304067
    • 提供者:林晓冬
  1. LCD-serial-to-parallel

    0下载:
  2. lcd1602液晶屏使用8位并行传输数据,因为这样需要引脚比较多,所以有这个想法是否可以向12864那样串行传输数据。但是1602不向12864有串行模式,它只有一个并行模式。这时组内有人提出可以使用74HC595这个芯片,它的特性正好是8位串行输入转平行输出。 接下来开始讨论控制的时序图,1602的时序图十分简单,我们用用画图得出了串行下的时序。 -LCD1602 LCD screen using 8 bit parallel transmission of data, becau
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-10
    • 文件大小:502091
    • 提供者:wis
  1. iprecieve

    0下载:
  2. udp协议的ipreceive模块,用verilog写的,思路很明确-Udp agreement ipreceive module, written with verilog, the idea is clear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:1985
    • 提供者:徐林
  1. ERA2000成像测井地面仪器硬件的设计与实现

    1下载:
  2. ERA2000 成像测井地面仪的设计采用了先进的计算机技术和网络技术,成功地解决了成像测井数据量大、外部响 应多等问题,解决了采集数据要求的可靠性高、实时性强以及数据的成像处理、解释绘图输出等几个方面的技术难题。 分别介绍了设计思想、功能、特点和系统的实现方式。地面数据采集使用具有国际标准的工业总线VME 总线,提高了 系统的稳定性和可靠性。操作系统使用对用户友好的窗口界面,具有配套齐全、组合测井能力强、工作稳定可靠、操作使 用方便等特点。能够完成成像测井、常规裸眼井测井、生产测井、射孔
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:152576
    • 提供者:yaoyaorenbin
  1. 51单片机程序模板

    0下载:
  2. 51单片机提升训练编程,为刚入门的学者提供良好的思路(51 SCM training program, for the beginning of the scholars to provide a good idea)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-20
    • 文件大小:8192
    • 提供者:国富黄金
  1. 谢川_有源电力滤波器电流环控制器设计

    0下载:
  2. 本文是有源电力滤波器设计的思路,对有源滤波器进行了详细的说明,对新手非常有用。(This article is the idea of active power filter design, detailed descr iption of the active filter, very useful for the novice.)
  3. 所属分类:DSP编程

    • 发布日期:2017-12-22
    • 文件大小:925696
    • 提供者:zhuxiaoyan
  1. kd565

    0下载:
  2. Using matlab to calculate the Mahalanobis distance for the image, Prediction Error Method for Parameter Identification - the idea of relaxation, You can achieve data classification and regression pattern recognition.
  3. 所属分类:DSP编程

  1. uikuh

    0下载:
  2. Mainly for data analysis and statistics, Prediction Error Method for Parameter Identification - the idea of relaxation, Classic GLCM texture calculation method.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:3072
    • 提供者:faimunqeifen
« 1 2 ... 4 5 6 7 8 910 11 »
搜珍网 www.dssz.com