CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - Phase Detector Verilog

搜索资源列表

  1. 数字边沿鉴相器

    0下载:
  2. 数字边沿鉴相器 verilog源程序 -figures for 2500 phase-2500 verilog source digital phase detector verilog source
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-14
    • 文件大小:9056
    • 提供者:mingming
  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. dpll

    3下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-27
    • 文件大小:668875
    • 提供者:栾帅
  1. VPD__using_FFe

    1下载:
  2. verilog开发一种种基于fpga的鉴相器模块 -the verilog development of all kinds based on fpga phase detector module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:447672
    • 提供者:房产
  1. dpll

    0下载:
  2. 用verilog编写的全数字锁相环,包括鉴相器,模K计数器,加减脉冲模块和分频模块,都经过验证-verilog based digital phase lock loop design, including phase detector,mode K counter, increment/decrement counter and frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6231
    • 提供者:chi zhang
搜珍网 www.dssz.com