CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - am modulation

搜索资源列表

  1. tms5402FM

    0下载:
  2. 信号调制中的AM调制方法在DSP5402上面的实验,为汇编语言编写,程序已经调通。:)-signal modulation of AM modulation method DSP5402 in the above tests, for the compilation of language, Link procedures have been transferred. :)
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:7970
    • 提供者:张生
  1. am.rar

    0下载:
  2. 新手做的AM调制的软仿真,程序已调通,开发环境是CCS,Novice do AM modulation of the soft simulation, the program has been transferred Qualcomm, development environment is a CCS
  3. 所属分类:DSP program

    • 发布日期:2017-03-25
    • 文件大小:6658
    • 提供者:fd
  1. MC1496

    0下载:
  2. 使用MC1496实现AM调制的PDF格式说明书。-AM modulation using MC1496
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:240857
    • 提供者:liuyu
  1. AM_Modulation

    0下载:
  2. Am modulation implement fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:1265
    • 提供者:majid
  1. AM

    1下载:
  2. FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-11
    • 文件大小:1687703
    • 提供者:baixiangzhou
  1. FPGA_AM

    0下载:
  2. 基于cyclone系列FPGA的模拟幅度调制的VHDL代码-Cyclone series FPGA-based simulation of VHDL code amplitude modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:642005
    • 提供者:李承运
  1. AM

    0下载:
  2. this is a fantastic ana marvellous code for amplitude modulation on DSP dsk6713 kit. if u wana really play with,,then go for it-this is a fantastic ana marvellous code for amplitude modulation on DSP dsk6713 kit. if u wana really play with,,then
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:557
    • 提供者:HASSU
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. dayin

    0下载:
  2. 该程序利用vhdl语言,采用查表法实现am调制,此方法简洁又有效-The program using vhdl language, using look-up table method to achieve am modulation, this method is simple and effective
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:66578
    • 提供者:rain
  1. DDS_AD9852_MSP430_LCD_Key

    0下载:
  2. 由MSP430做主控芯片,控制AD9852产生0~10MHz的正弦波,可AM,FM,BPSK,ASK调制,分类可调-MSP430 work as the master chip, control AD9852 produce 0 ~ 10MHz sine wave, can be AM, FM, BPSK, ASK modulation, classification adjustable
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:75392
    • 提供者:廖余立
  1. fpga

    0下载:
  2. 基于FPGA的信号调制,可产生正弦波,并进行ASK调制和AM调制-FPGA-based signal modulation, can produce sine wave, and the ASK modulation and AM modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7066
    • 提供者:张沐松
  1. DDS(fsk-ask-psk)

    0下载:
  2. 基于VHDL的波形调制,其中包括调频、调幅,调脉宽等-VHDL-based waveform modulation, including FM, AM, pulse width modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6747337
    • 提供者:王展
  1. AM-DSB

    0下载:
  2. 用Multisim11进行的AM-DSB调制仿真-use Multisim11 to simulate AM—DSB modulation
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:242063
    • 提供者:yangxin
  1. DAC908-AM-FM--sinsin

    1下载:
  2. 基于FPGA的DDS发生器以及AM、FM模拟调制-The DDS generator and FPGA-based AM, FM analog modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1675965
    • 提供者:xuexun
  1. sin

    0下载:
  2. 正弦波发生器,产生频率、相位可调的正弦波,并且实现了AM调制,已通过仿真-Sine wave generator to produce the frequency, phase adjustable sine wave, and the realization of the AM modulation, has been through the simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3666255
    • 提供者:王婷
  1. am

    0下载:
  2. am modulation by dsk6713
  3. 所属分类:DSP program

  1. am

    0下载:
  2. 该算法实现的是相位调制的功能,是载波的相位对其参考相位的偏离值随调制信号的瞬时值成比例变化的调制方式-The algorithm is a function of phase modulation, the carrier phase is the phase deviation from its reference value with the modulated signal proportional to the instantaneous value of changes in modu
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1775
    • 提供者:华电
  1. AM-modulation-of-dsp

    1下载:
  2. DSP的AM调制程序,CCS打开,汇编语言,自己编译,亲测-AM modulation process in the DSP
  3. 所属分类:DSP program

    • 发布日期:2016-05-02
    • 文件大小:1024
    • 提供者:liruxin
  1. am

    0下载:
  2. 利用altera的cyclone FPGA芯片,实现AM调制,并使用自带的逻辑分析仪仿真成功。-The use altera cyclone FPGA chip, AM modulation, and use its own logic analyzer successful simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3691324
    • 提供者:luomeigang
  1. AM调制解调

    1下载:
  2. 基于Artix-7 FPGA的AM调制解调代码,从AD读入信号后,进行AM调制,并解调输出(将代码分成两个工程就是AM的调制和解调),其中解调用到的数字滤波采用MATLAB设计(The AM modulation and demodulation code based on artix-7 FPGA, after reading the signal from AD, carries out AM modulation, and demodulates the output (the code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-20
    • 文件大小:41027584
    • 提供者:Emmanuel000
« 12 3 »
搜珍网 www.dssz.com