CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - bcd

搜索资源列表

  1. verilog_program

    0下载:
  2. 各种初学Verilog者需要练习的实例代码集锦,包含加法器,BCD计数器,2分频,交通灯等等!-Beginners need to practice a variety of examples of Verilog code highlights, including the adder, BCD counters, 2 frequency, traffic lights and more!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:32363
    • 提供者:lyh
  1. bcd_to_binary

    0下载:
  2. bcd to binary verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4346
    • 提供者:hyuma
  1. B_to_D

    0下载:
  2. 二进制转BCD码程序,可作为7段数码管显示的编解码程序,VHDL编写的FPGA工程。-BCD binary code change process, as 7 digital display codec process, VHDL FPGA project prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1009365
    • 提供者:程光
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. bin2bcd

    0下载:
  2. 用来将二进制的信号转化成BCD码形式的信号,用来在数码管上显示相应的数字。-To the binary signal into BCD code in the form of signals, used in the digital display the corresponding number.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:252502
    • 提供者:da
  1. 2BCD

    0下载:
  2. 二进制转BCD码 verilog hdl Quartus II 9.0sp2 编译通过 所有的文件-Binary to BCD code verilog hdl Quartus II 9.0sp2 compile all the documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:286916
    • 提供者:王冠
  1. Counter

    1下载:
  2. 计数器 QuartusⅡ 10进制计数器 CLKIN为时钟输入端,CLR为清零端,Y[3..0]为四位二进制输出(BCD 码形式),CLKOUT为10进制计数器进位输出端 -Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29703
    • 提供者:duopk
  1. bcd_to_7segmentos

    0下载:
  2. bcd to 7 segments display tested on xboard xilinx, all code developed on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:602514
    • 提供者:carlos
  1. 999jisq

    0下载:
  2. 一个能从0~999计数的 bcd码数码管 电路-A count from 0 to 999 digital control circuit bcd code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:85874
    • 提供者:黄国猛
  1. vhdl

    1下载:
  2. 用VHDL语言实现的二进制到BCD码和格雷码的转换,程序通读性比较好。-VHDL language with the binary code and Gray code to BCD conversion, the program read through is better.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1142
    • 提供者:周波
  1. BCD

    0下载:
  2. ROM vhdl for binary to BCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:616
    • 提供者:K1000
  1. 02-BCD-Conv

    0下载:
  2. 关于单片机bcd的应用程序,希望对大家有帮助!-Bcd on the MCU application, we want to help!
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:18852
    • 提供者:杨镇宁
  1. 07-BCD-NEG

    0下载:
  2. 关于单片机bcd neg的应用程序,希望对大家有帮助!-SCM bcd neg on application, we want to help!
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:26038
    • 提供者:杨镇宁
  1. 08-BCD-Add

    0下载:
  2. 关于单片机bcd ADD的应用程序,希望对大家有帮助!-SCM bcd ADD on the application, we want to help!
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:5572
    • 提供者:杨镇宁
  1. 09-BCD-Sub

    0下载:
  2. 关于单片机bcd SUB的应用程序,希望对大家有帮助!-SCM bcd SUB on the application, we want to help!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:27683
    • 提供者:杨镇宁
  1. 10-BCD-Shift

    0下载:
  2. 关于单片机bcd SHIFT的应用程序,希望对大家有帮助!-SCM bcd SHIFT on the application, we want to help!
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:18114
    • 提供者:杨镇宁
  1. BCD

    0下载:
  2. 编译完成并分配了管脚后,利用EDA6000启动电路,利用两个四位拨码开关实现两个BCD码的输入,通过数码管显示的结果表明电路设计结果符合预期,能正确的完成BCD码的加法。-Compilation and distribution of the pin, the use of EDA6000 start circuit, using two four DIP switches to achieve two BCD code input, through the digital display of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:161980
    • 提供者:吴琦轩
  1. bin2bcd7seg

    0下载:
  2. 用vhdl语言编译一个码制转换 四位二进制->BCD码,然后将BCD码->七段显示器码。 (1)当输入为0~9的数时,其十位数为0,个位数=输入。 当输入为10~15的数时,其十位数为1,个位数=输入-10。 (2)然后将十位和个位的BCD码转换为七段显示码 -Vhdl language used to compile a binary code system conversion of four-> BCD code, then BCD code->
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:326696
    • 提供者:宋子皓
  1. bcd-alu

    0下载:
  2. 一位十进制bcd加减法运算器,可对两个一位整数进行加减法运算.-Bcd a decimal addition and subtraction operations, which can be both an integer addition and subtraction operations.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:462600
    • 提供者:k.lin
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
« 1 2 3 45 6 7 8 9 10 ... 27 »
搜珍网 www.dssz.com