CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - dds verilog

搜索资源列表

  1. verilog实现FSK

    1下载:
  2. 用verilog语言,采用DDS技术实现的FSK
  3. 所属分类:VHDL编程

    • 发布日期:2009-05-04
    • 文件大小:4009917
    • 提供者:yfvictoria
  1. 基于FPGA的直接数字频率合成器(DDS)设计

    1下载:
  2. 基于FPGA的直接数字频率合成器(DDS)设计 (源程序),FPGA-based direct digital synthesizer (DDS) design (source code)
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:236673
    • 提供者:jacky
  1. DDS-top.rar

    0下载:
  2. 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。,Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:299054
    • 提供者:evil
  1. cordicDDS

    0下载:
  2. Cordic算法实现DDS的Verilog 源码,14位精度,非常实用的。-DDS algorithm Cordic the Verilog source code, 14-bit accuracy, very practical.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7592
    • 提供者: 王王
  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. DDS_VERILOG

    0下载:
  2. verilog dds 在发生正弦波时,很好的参考代码-verilog dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3011
    • 提供者:王洋
  1. dds-design

    0下载:
  2. fpga实现dds,实现任意波形输出信,设计代码verilog-dds fpga realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:583
    • 提供者:cc
  1. AM

    1下载:
  2. FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-11
    • 文件大小:1687703
    • 提供者:baixiangzhou
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. dds

    0下载:
  2. 关于FPGA中DDS核参数设置的资料,英文版的XILINX资料-DDS on the FPGA in the data set of nuclear parameters, the English version of XILINX information
  3. 所属分类:SCM

    • 发布日期:2017-05-08
    • 文件大小:1663597
    • 提供者:李晶
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. dds

    0下载:
  2. 用VERILOG语言实现的dds(直接数字频率合成器)-VERILOG language with the dds (DDS)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:104841
    • 提供者:叶少朋
  1. dds

    0下载:
  2. dds 驱动 ad9851 fpga vhdl-ad9851 dds ad9851 fpga vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1544097
    • 提供者:ZHANGLONG
  1. DDS_FINAL

    0下载:
  2. My project is on Direct Digital Synthesiser using Verilog HDL.This project is doing by me on july 2009 in summer training at NIT Kurukshetra, India. This DDS system generate the square wave, Triangular wave,Sine wave and saw wave with different fre
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:436975
    • 提供者:Raju Kumar
  1. dds

    0下载:
  2. 这是用VERILOG描写的一个DDS的实例,涉及到一些lpm的运用希望对大家有用-it‘s useful。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:881953
    • 提供者:tom
  1. DDS

    0下载:
  2. 基于FPGA的DDS正弦信号设计,文件中有源代码(Design of DDS based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-13
    • 文件大小:51310
    • 提供者:hdu
  1. 四通道DDS信号发生器

    1下载:
  2. 四通道DDS信号发生器,很好用的代码,大家一起分享(Four-channel DDS signal generator)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:6792192
    • 提供者:sauno
  1. DDS

    0下载:
  2. 用verilog语言,在fpga上实现dds信号发生器,并在vga上显示出来(Verilog realizes DDS Signal Generator)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:39298048
    • 提供者:灵风轩允
  1. DDS -changed

    0下载:
  2. DDS技术实现波形产生代码,可以编译下载学习使用!(DDS generate diagram program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:4986880
    • 提供者:shilj
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com