CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 搜索资源 - mips

搜索资源列表

  1. AT91M55800A-MIPS-GHS3_6-1_0.rar

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:172473
    • 提供者:
  1. vxwork_src.rar

    1下载:
  2. 大名鼎鼎的嵌入式操作系统vxwork的完整的源代码,支持多种体系结构的嵌入式处理器,如arm,x86,i960,mc68k,mips,ppc,sparc等,包含完整的实时多任务处理及网络tcpip,dhcp,rip等协议,tffs文件系统,以及各种硬件驱动程序如usb等等。。。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:5108146
    • 提供者:
  1. C8051F020应用程序包

    1下载:
  2. C8051f020是基于51核的业界8位单片机最高速的,指令执行速度25MIPS。这个程序包是新华龙公司提供的,需要购买900元的开发系统才会赠送此文件包,先上传。可以用keil软件打开或者去www.xhl.com.cn下载IDE软件打开工程ps---c文件直接用记事本就ok啦^_^,里面有温度传感,步进电机等等源代码,方面移植!^_^-C8051f020 is based on the 51 nuclear industry eight most high-speed microcontrol
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:536849
    • 提供者:程鹏
  1. sdl_mad-0.1.tar

    0下载:
  2. 基于SDL的一个多硬件平台mp3播放器.含解码器源码,可适应平台有X86,ARM,MIPS,PowerPC.-based on the SDL more than one hardware platform mp3 player. Containing source decoder can adapt to the x86 platform, ARM, MIPS, PowerPC.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:446592
    • 提供者:王朝
  1. signal_cpu_sort

    0下载:
  2. Use the verilog language write a MIPS CPU code, and have additional instruction, for example: selection sort instruction. The code has contain combination circuit and sequenial circuit. CPU have contain ALU, ADD, ALU_CONTROL, DATA_MEMORY, INST_ME
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:8960
    • 提供者:張大小
  1. yamon-sampleappl-src-02.03

    0下载:
  2. Support is available from MIPS Technologies Inc. - problems should be addressed to support@mips.com。This product may be controlled for export purposes. You may not export, or transfer for the purpose of reexport, any technical data received
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:60351
    • 提供者:谭诚
  1. miniMIPS

    0下载:
  2. 这是一个基于mips-I结构的处理器,32bit,冯诺依曼结构-This is based on a MIPS - I structure of the processor, 32bit, von Neumann structure
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:223003
    • 提供者:tsm998
  1. mips_creative

    0下载:
  2. 一个完整的MIPS CPU,创新设计,浙江大学某学生作品,有完整的说明文档、仿真文件和测试文件,可以直接综合和仿真。-a complete MIPS CPU, innovative design, a student of Zhejiang University works with complete documentation, simulation and test documents, and can be directly integrated simulation.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1866979
    • 提供者:梁文锋
  1. MIPS_Training

    0下载:
  2. 一份MIPS体系架构PPT培训教程,对于初次接触MIPS的有较好的参考价值 -a MIPS architecture PPT Training Guide for the first time contact with MIPS a better reference value
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:7579
    • 提供者:陈咏
  1. u-boot-1.1.4.tar

    0下载:
  2. 最新版的嵌入式bootloader源代码,可以轻松的在一周之内一直到各类MCU上,如MIPS,ARM7,ARM9,XScale,Power PC等平台。-latest version of the embedded Bootloader source code, can easily within a week and have all kinds of MCU, such as MIPS, ARM7, ARM9, XScale, Power PC platform.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:5945219
    • 提供者:古月伦
  1. mips_ucos_1.10

    0下载:
  2. MIPS架构UCOS-ii移植例程,不同于ucos-ii网站上下载例程,新增加2个例程文件,对新手十分重要-MIPS architecture UCOS-ii transplant routines, unlike CENTER-ii routines download site, two new routines, the importance of thumb
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:243801
    • 提供者:栋梁
  1. qemu-0.8.1.tar

    0下载:
  2. qemu虚拟机代码,能够虚拟x86,arm,mips,ppc等体系-Qemu virtual machine code, the ability to virtualize x86, arm, MIPS, machines such as system
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1623264
    • 提供者:孙德黎
  1. AT91M55800A-MIPS-GHS3_6-1_0

    0下载:
  2. AT91M55800A-MISP
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:172740
    • 提供者:小罗
  1. nachos-4.0

    0下载:
  2. 伯克利大学的教学操作系统,基于MIPS虚拟作的操作系统。-Berkeley university teaching operating systems, based on MIPS for the virtual operating system.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:376670
    • 提供者:lark
  1. NETCFv2.0.6129.0.wce.mips

    1下载:
  2. wince net cf 2.0 files without cab for MIPS platform
  3. 所属分类:Windows CE

    • 发布日期:2017-05-11
    • 文件大小:2187007
    • 提供者:devon
  1. PipelineCPU

    0下载:
  2. 1. understand how to improve CPU performance 2. master the working principle of pipelined MIPS microprocessor. 3. understand the concept of data adventure, control risk and the solution of pipeline conflict. 4. mastering the testing method of pipe
  3. 所属分类:微处理器开发

    • 发布日期:2018-04-21
    • 文件大小:633856
    • 提供者:D.FRANCIS
  1. 北航MIPS多周期

    1下载:
  2. 多周期流水线处理器的verilog实现。(The Verilog implementation of a multi cycle pipelined processor.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:14572544
    • 提供者:jetyeah
  1. eetop.cn_mips jtag

    0下载:
  2. MIPS处理器做的JTAG下载器,里面是详细的说明文档。(The JTAG downloader made by MIPS processor is a detailed descr iption document.)
  3. 所属分类:微处理器开发

    • 发布日期:2018-05-01
    • 文件大小:1597440
    • 提供者:Mick
  1. single

    0下载:
  2. 单周期MIPS处理器的设计,附带测试文件。(The design of a single cycle MIPS processor comes with test files.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:zbw
  1. MIPS指令verilog实现

    0下载:
  2. 单周期MIPS指令处理器能在一个时钟周期内完成add、sub、and、or、sw、lw、beq、j等一条MIPS指令的处理。 单周期MIPS指令处理器包括以下几部分电路:指令存储器、数据存储器、寄存器堆、算术逻辑运算单元、控制电路。 指令存储器:保存处理器的指令,起始地址为0x00400000; 数据存储器:保存处理器的数据,起始地址为0x10010000; 寄存器堆:32个32bit寄存器; 算术逻辑运算单元:完成各种运算; 控制电路:产生处理器的控制信号,包括PC生成。
  3. 所属分类:硬件设计

    • 发布日期:2021-04-03
    • 文件大小:9651
    • 提供者:ggggzyyyy
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 25 »
搜珍网 www.dssz.com