CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 微处理器(ARM/PowerPC等) 搜索资源 - vhdl

搜索资源列表

  1. leon2-1.0.30-xst.tar

    2下载:
  2. Leon2 CPU VHDL Source Code 欧洲航天局资助开发的LEON CPU,源码遵循GPL -Leon2 CPU VHDL Source Code European Space Agency funded the development of LEON CPU, followed source GPL
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:1398134
    • 提供者:笑雨
  1. Altera的IP源码8237

    1下载:
  2. 名鼎鼎的Synopsys公司出的8051IP Core VHDL语言编写,能被keilC51支持-renowned name of the company Synopsys 8051IP Core VHDL language, support can be keilC51
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:207924
    • 提供者:上面的
  1. IPCORE

    0下载:
  2. 最简单的八位单片机8051的源代码,支持MCS51的汇编语言,可综合,VHDL语言描述,有测试环境-most simple eight SCM 8051 source code, a compilation support MCS51 language, integrated, VHDL descr iption of a test environment
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:137935
    • 提供者:许盛
  1. jtag_cpld_vhdl

    0下载:
  2. JTAG CPLD实现源代码,比用简单并口调试器快5倍以上。 以前总觉得简单的并口jtag板速度太慢,特别是调试bootloader的时候,简直难以忍受。最近没什么事情,于是补习了几天vhdl,用cpld实现了一个快速的jtag转换板。cpld用epm7128stc100-15,晶振20兆,tck频率5兆。用sjf2410作测试,以前写50k的文件用时5分钟,现在则是50秒左右。tck的频率还可以加倍,但是不太稳定,而且速度的瓶颈已经不在tck这里,而在通讯上面了。 -JTAG CPLD
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:2023
    • 提供者:李伟
  1. embedded_avr_core

    0下载:
  2. 一个嵌入式微avr的vhdl源代码,.大家可以参考一下 -an embedded micro-avr vhdl the source code. We can take a look
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:55619
    • 提供者:大为
  1. VHDL-timer

    0下载:
  2. 这是关于VHDL时钟的源代码,欢迎大家下载交流!
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:6967
    • 提供者:张三
  1. EXPT41_mux21A

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA组合电路的设计
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:15323
    • 提供者:多幅撒
  1. hdlc.tar

    0下载:
  2. HDLC接口的实现,用VHDL写的,带有文档!
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:177173
    • 提供者:刘志刚
  1. vhdl

    0下载:
  2. 利用vhdl描述简单mcu,功能类似AVR AT90S1200的单片机的指令系统存储系统等-VHDL descr iption of the use of simple mcu, features similar to the AVR AT90S1200 MCU instruction set, such as storage systems
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-17
    • 文件大小:34267
    • 提供者:yu
  1. C05_IPCore8051

    0下载:
  2. 使用VHDL语言编写的8051IP核,可以嵌入到自己的设计中使用-The use of VHDL language 8051IP nuclear, can be embedded into the design of their own use
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-24
    • 文件大小:45674
    • 提供者:anney
  1. LED

    0下载:
  2. 在ALTERA的DE 2 开发板上做的一个类似闪烁的彩灯,用了16个LEDR,可以直接下载到板子上运行,基于经典的开发平台Quartus II+SOPC Builder+Nios II IDE 做的,只要看了以后,你就会自己设计各种花样的彩灯闪烁的样子了.所用语言有多种,VHDL,C/C++等-DE 2 in the development of the ALTERA board to do a similar flickering lantern, with a 16 LEDR, can be
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-16
    • 文件大小:4208226
    • 提供者:liguoyin
  1. NIOS_I2C_test

    0下载:
  2. nios 中I2C总线的使用,卡拉OK的完整实例。其实不是用VHDL编写的,而是用Verilog编写的。我的工程和代码绝对完整!-nios in the use of I2C bus, karaoke OK example. Is not prepared to use VHDL, but prepared using Verilog.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-28
    • 文件大小:11159801
    • 提供者:jiayanfu
  1. mimasuo_VHDL

    0下载:
  2. 用数字逻辑的vhdl编写密码锁的指导书参考一下-Using digital logic vhdl write lock reference guide book
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:356744
    • 提供者:liguifang
  1. firevid

    0下载:
  2. 一个通过1394获取摄像头视频的工程,包括软件及VHDL程序 1394 LLC芯片为TSB12LV32-A video camera through the 1394 acquisition of projects, including software and VHDL program 1394 LLC chip TSB12LV32
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-01
    • 文件大小:28012
    • 提供者:Quenii
  1. cpu

    0下载:
  2. 基于十二条简单汇编指令构成的一个cpu 采用vhdl语言编写 内附源代码 工具sylinx-Based on 12 simple assembly instructions consisting of a cpu using vhdl language source code tool sylinx included
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:694903
    • 提供者:张伟
  1. VHDL-cpu

    0下载:
  2. 根据计算机组成原理课程所学的知识和本课程所讲的设计思想,设计一个给定指令系统的处理器,包括:VHDL语言的实现;FPFA芯片的编程实现; -Based on the knowledge and the curriculum computer architecture course learn about design thinking, design a given the instruction system' s processor, including: the realizat
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-17
    • 文件大小:18584
    • 提供者:heyuhong
  1. vhdl

    0下载:
  2. vhdl cpu芯片逻辑设计的一部分实现 只有一小部分 大家可以看一下 寄存器 加法器之类的-vhdl cpu chip logic design part of its implementation only a little part everry look and see b=about registers adder and so on
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-15
    • 文件大小:52077
    • 提供者:刘志富
  1. vhdl--pratice

    0下载:
  2. vhdl入门程序,大学数字电路实验课经常用到的程序。-vhdl entry procedures
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-21
    • 文件大小:5669914
    • 提供者:jack
  1. CPU

    0下载:
  2. 简单的CPU设计,使用VHDL 和 quartus ii 设计的cpu(a simply cpu design, vhdl quartus ii ,dsg gs h srh rsh rsh srjh srh)
  3. 所属分类:微处理器开发

    • 发布日期:2017-12-25
    • 文件大小:1488896
    • 提供者:fgsdgsdg
  1. lu

    0下载:
  2. 16位MIPS指令集,VHDL实现,非常简单,非常粗暴(library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-07
    • 文件大小:817152
    • 提供者:nodgd
« 12 3 4 5 6 »
搜珍网 www.dssz.com