CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - 项目

搜索资源列表

  1. FPGA_VHDL_code

    1下载:
  2. FPGA学习非常珍贵的资料,包括USB、UART、I2C、Ethernet、VGA、CAN等总线的VHDL实现,可以直接应用于实际项目中。需要的请下载。 -FPGA to learn very valuable information, including USB, UART, I2C, Ethernet, VGA, CAN bus, such as VHDL to achieve, can be directly applied to actual projects. Need to do
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1606118
    • 提供者:suzhenwei
  1. project

    0下载:
  2. 在Spartan-3E FPGA开发板上做的一个小项目--带语音功能的计算器,并且通过VGA接口在显示器上显示图形界面。涉及到ps2键盘模块,VGA显示模块,picoblaze汇编,串口收发模块。-In the Spartan-3E FPGA development board to do a small project- a calculator with voice capabilities, and VGA interface, through the graphical interfac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2433550
    • 提供者:赵龙
  1. DDS_trans_final

    0下载:
  2. DDS芯片AD9854的配置文件,能配置正弦波的频率和幅度,也能配置相关的调制方式和调制参数,只要根据芯片资料给出合适的控制字入口参数即可,都是我在项目开发实际应用的代码,希望对大家有点帮助-AD9854 DDS chip, the configuration file, to configure the frequency and amplitude sine wave can also configure the relevant parameters of modulation and m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1160430
    • 提供者:林鹏
  1. Altera-FPGACPLD

    0下载:
  2. Altera FPGACPLD设计(基础篇)配套光盘,提供了书中所有示例的完整工程文件、设计源文件和说明文件。 每个工程示例都包括了该工程的项目文件、源文件、报告文件和生成结果等文件,读者可以用Quartus II或相应的软件直接打开。设计源文件根据设计输入类型分为源代码或原理图等。-Altera FPGACPLD Design (fundamental) supporting CD-ROM, the book provides a complete project files for al
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:61037568
    • 提供者:lyy
  1. 3

    0下载:
  2. vhdl项目设置: flv的 -VHDL Project Settings: flv
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3628207
    • 提供者:ljc
  1. PS2Fpga

    0下载:
  2. PS2开发源代码,取自于FPGA开发板,可直接应用于实际项目中-PS2 development of source code, derived from FPGA development board can be directly applied to actual projects
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:610979
    • 提供者:王军
  1. VerilogHDL_design_rule

    0下载:
  2. 自己在设计中的总结的设计要点,其中经历了几个项目,把其中的心得里路在其中,希望对各位同学和同事有帮助-Themselves in the design summary of the design features, which has gone through several projects, which experience the mile in which you want to help students and colleagues
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:101380
    • 提供者:金鑫
  1. vga_colors

    0下载:
  2. 该项目在VGA显示器上显示8色竖彩条。使用VerilogHDL语言编写,在Altera公司的QuartusII开发环境下验证通过。-The project was displayed on the monitor VGA color vertical color 8. VerilogHDL language used in Altera' s development environment QuartusII verification through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:15226
    • 提供者:submars
  1. eth_interface

    0下载:
  2. 基于FPGA的以太网接口的实现。 使用方法: 1.拷贝到硬盘。 2.用ISE创建项目,分别加入各个代码文件,即可。-FPGA-based Ethernet interface. Use: 1. Copy to your hard disk. 2. With ISE to create items to the various code files, you can.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:124148
    • 提供者:田文军
  1. efcount

    0下载:
  2. 完整的等精度频率相位计,包含了项目文件、VHDL源代码、RTL电路图-Such as the complete phase of the frequency accuracy, including the project document, VHDL source code, RTL circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:366493
    • 提供者:xiexuan
  1. count10

    0下载:
  2. 基于Quartus II的十进制加法计数器的项目设计,包含了项目文件和VHDL源代码-Quartus II based on the decimal adder counter the project design, including project documents and VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1406860
    • 提供者:xiexuan
  1. fdiv

    0下载:
  2. 基于Quartus II的数控分频器的项目设计,实现对时钟信号的任意进制分频,包含了项目文件和VHDL源代码-NC-based prescaler Quartus II project design, implementation of the clock signal of arbitrary frequency band, including the project files and VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:183739
    • 提供者:xiexuan
  1. f_cout

    0下载:
  2. 基于Quartus II的8位十六进制频率计的项目设计,包含了项目文件和VHDL源代码-Quartus II-based 8-bit hexadecimal frequency of project design, including project documents and VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:431803
    • 提供者:xiexuan
  1. VGA

    0下载:
  2. 该项目在VGA显示器上显示8色竖彩条,使用的是verilog HDL语言编写,言简意赅,一目了然-VGA display of the item shown in the 8-color vertical color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:15420
    • 提供者:Doolittle
  1. clk

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的数字钟工程项目,有50MHz分频、计数、译码等模块。-Quartus II project files, is a typical FPGA-based digital clock project, there are sub-50MHz frequency, counting, decoding modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:512472
    • 提供者:kg21kg
  1. CLK_V

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的数字钟工程项目,有50MHz分频、计数、译码等模块。采用Verilog语言编写。-Quartus II project files, is a typical FPGA-based digital clock project, there are sub-50MHz frequency, counting, decoding modules. The use of Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:597947
    • 提供者:kg21kg
  1. stopwatch

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的秒表工程项目,有50MHz分频、计数、译码等模块。采用VHDL语言编写。-Quartus II project files, is a typical FPGA-based project of the stopwatch, a 50MHz frequency, counting, decoding modules. Using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:465122
    • 提供者:kg21kg
  1. JIJIAQI

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的计价器工程项目,有有限状态机、50MHz分频、计数、译码、动态扫描等模块。-Quartus II project files, is a typical FPGA-based project of the meter, there are finite state machine, 50MHz frequency, counting, decoding, dynamic scanning module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:795370
    • 提供者:kg21kg
  1. qiangdaqi

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的抢答器工程项目,有计数、BCD译码、动态扫描等模块。-Quartus II project files, is a typical browser-based FPGA Answer Project, a count, BCD decoding, dynamic scanning module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-27
    • 文件大小:496095
    • 提供者:kg21kg
  1. Electronic_Calendar_Based_On_FPGA

    0下载:
  2. 本项目主要是利用FPGA技术实现电子日立的功能,显示年月日星期,显示格式为:“年. 月. 日. 星期”,其中年月日星期均为可调电路。该项目共有七个模块:星期控制电路、日期控制电路、月份控制电路、年份控制电路、选择月份电路、扫描显示电路和调节电路。总体思路是:星期和日期控制电路共用一个脉冲信号;日期的进位反馈给调节电路,再通过调节电路中的开关控制选择月份和月份控制电路的脉冲信号,以起到随时调节月份的作用;同理,月份控制电路的进位反馈给调节电路以随时调节年份。-The project is main
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:42695
    • 提供者:xiaoxu
« 1 2 34 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com