CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - ADC

搜索资源列表

  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. Example.rar

    0下载:
  2. Nanny Fpga开发板的正版测试程序,内含lcd1602液晶控制驱动程序,Ad9201模数转换器和AD5440数模转换器的驱动控制程序,Nanny Fpga Development Board of the Genuine test procedures, including control lcd1602 LCD driver, Ad9201 ADC and DAC AD5440 driver control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:135328
    • 提供者:zhijun
  1. FPGA

    2下载:
  2. 结合FPGA和以太网传输的特点,设计了一套数据采集系统,应用FPGA的内部逻辑实现对ADC、SDRAM、网卡控制芯片DM9000的时序控制,以FPGA作为采集系统的核心,通过ADC,将采集到的数据存储到SDRAM中,以FIFO方式从SDRAM中读出数据,并将数据结果通过以太网接口传输到计算机-Combination of FPGA and Ethernet features, designed a data acquisition system, application FPGA' s i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-20
    • 文件大小:388543
    • 提供者:gdr
  1. adc16bit

    0下载:
  2. ADC — 16bit-adc 16bit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1501
    • 提供者:邓岚
  1. Verilog_ADCtestcode

    1下载:
  2. ADC测试的verilog代码,可以下载到FPGA上面实现对ADC性能测试。-the test code for ADC of verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:145058
    • 提供者:刘晓志
  1. adc

    0下载:
  2. communication spi adc for spartan 3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4295
    • 提供者:hung
  1. adc

    2下载:
  2. vhdl实现对模数转换芯片adc0832的控制,程序采用的是状态编码输出.-VHDL realization of analog-digital conversion chip adc0832 control, procedures using state of the output encoding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-01-22
    • 文件大小:25334
    • 提供者:liaocongliang
  1. ADC_INTERFACE

    0下载:
  2. it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit. -it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6857
    • 提供者:yasir ateeq
  1. 61EDA_D954

    0下载:
  2. 用FPGA实现的ADC采样器,用vhdl编写,spi总线-FPGA implementation using the ADC sampler, prepared using VHDL, spi bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:58376
    • 提供者:nbm
  1. ADC_CONTROL_VERYLOG

    0下载:
  2. 运行在FPGA上的Verilog程序(实现对ADC的控制)-Verilog procedures (the achievement of the control of the ADC)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:320008
    • 提供者:lion
  1. ADC

    1下载:
  2. verilog code for ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1485
    • 提供者:nhat
  1. xapp345_vhdl

    0下载:
  2. adc转换功能的vhdl源码,其中包含adc_interface 和转换还包含串口输出-adc tranfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:36009
    • 提供者:jiangpeng
  1. ADControl

    1下载:
  2. 用verilog实现,ADC控制,源代码,可进行仿真-Verilog with the realization of, ADC control, source code, can be simulated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:138989
    • 提供者:代鑫
  1. ADC

    0下载:
  2. ACTEL FUSION STARTKIT FPGA 开发板例程,实现16通道的adc转换控制 adc精度12位 / 10位 可调 -ACTEL FUSION STARTKIT FPGA development board routines, to achieve 16-channel control of adc adc conversion precision 12-bit/10 adjustable
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:488395
    • 提供者:zhangyujun
  1. ADC-FPGA-test

    0下载:
  2. adc 测试 , FPGA 工程-adc test, FPGA project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3535
    • 提供者:王海峰
  1. adc

    1下载:
  2. 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3226
    • 提供者:钟雪美
  1. adc

    0下载:
  2. VHDL code of adc and interfacing with Spartan 3E FPGA Board
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:1024
    • 提供者:Shoaib14
  1. ADC的核心指标(SINAD,ENOB等)

    0下载:
  2. ADC采样性能分析,核心指标等。及一些测试方法。(Analysis of ADC sampling performance)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:66560
    • 提供者:qeer
  1. 4-ADC模块

    1下载:
  2. ADC模块例程 适用于飞思卡尔电磁循迹小车等智能小车(ADC module routine is suitable for intelligent cars such as Freescale electromagnetic tracking car.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-01-12
    • 文件大小:7617536
    • 提供者:佳佳1111
  1. ADC采样oled显示

    1下载:
  2. 通过12位32单片机ADC采样显示测量电压(Through 12 32 single-chip ADC sampling shows that measure the voltage)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-24
    • 文件大小:4096000
    • 提供者:抬头是阳光
« 12 3 4 5 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com