CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - LCD

搜索资源列表

  1. hdl

    0下载:
  2. 用Actel公司的Fusion系列FPGA开发的LCD实验程序-Fusion with Actel s FPGA development series LCD Experimental procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2671
    • 提供者:毕京鹏
  1. LCD-VHDL

    0下载:
  2. LCD的控制程序,采用硬件描述语言VHDL编写,里面还有仿真结果,是不可多得的学习材料-LCD control procedure for the preparation of the hardware descr iption language VHDL, along with simulation results, the learning materials are hard to come by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4558
    • 提供者:chenqin
  1. lcd

    0下载:
  2. exemple vhdl couter to lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2459
    • 提供者:JODY36D
  1. kp_lcd

    0下载:
  2. This is Keypad and LCD interface C code Tested on Sparton 3 xilinx FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2257
    • 提供者:bhagwan
  1. kp_uart

    0下载:
  2. This UART and LCD interface C code Tested on Sparton 3 xilinx FPGA.-This is UART and LCD interface C code Tested on Sparton 3 xilinx FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3571
    • 提供者:bhagwan
  1. LCD

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2669146
    • 提供者:qinkui
  1. DE2_NIOS_CharLCD

    1下载:
  2. nios ii在DE2上实现LCD动态显示,运行成功-nios ii in DE2 to achieve LCD dynamic display, running a successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18535548
    • 提供者:amy
  1. svgactrl

    0下载:
  2. 这是一个基于amba总线的svga lcd控制器的源码,请学习-This is a amba bus-based controller svga lcd source, please study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5304
    • 提供者:cws
  1. DE2_70_TV

    0下载:
  2. --- --- --- -Verilog--- --- ---- This design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor shoul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:168888
    • 提供者:Sami
  1. LCD

    0下载:
  2. 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-Verilog HDL language used in the LCD liquid crystal display of the source text
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:199889
    • 提供者:liupei
  1. LCDDriver-ML505-EDK10-1

    0下载:
  2. Sourcecode on MicroBlade processor for LCD driver on ML505 Xilinx Board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:917072
    • 提供者:phonglt03
  1. 1602_jp

    0下载:
  2. FPGA lcd显示程序,可以扫描键盘输入,并在lcd上显示,-FPGA lcd display program, you can scan the keyboard input and display in lcd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:478211
    • 提供者:zdy
  1. lcd

    0下载:
  2. 基于fpga的lcd显示模块vhdl描述,只需修改相关参数即可使用-Fpga based on the lcd display module vhdl descr iption, simply modify the relevant parameters to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1159
    • 提供者:郭帅
  1. LCD

    0下载:
  2. FPGAC串口数据接收 lcd液晶显示程序-FPGA lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3113106
    • 提供者:lishuang
  1. lcd_driver

    0下载:
  2. 用FPGA控制12864液晶输出时钟信息 很好 可以根据自己的需要更改 -12864 LCD control with FPGA clock output information can be very good according to their need to change the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406869
    • 提供者:刘军鹏
  1. LCD_Top

    0下载:
  2. FPGA 的verilog LCD显示代码-FPGA code in verilog LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2758
    • 提供者:xcxscf
  1. Ctl_LCD

    0下载:
  2. FPGA控制LCD代码,实测可用,仅供参考,如需转载请说明-FPGA control LCD code, measurement can be used for reference purposes only and for reprint please indicate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:595779
    • 提供者:Sem
  1. xianshi_lcd_0

    0下载:
  2. 实现了lcd1602显示的功能,可以在lcd上显示“年”字,有利于初学者学习lcd在fpga上显示,采用文本编辑的,利用quartus ii 702-Achieved lcd1602 display function, you can lcd display " " The word will help beginners learn lcd display in the fpga, using a text editor, using quartus ii 702
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:191245
    • 提供者:ad
  1. de2_dac_lcd

    0下载:
  2. FPGA KIT DE2-35 This project outputs a selected voltaje using VGA DAC, the DAC module is controlled using LCD display and buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:571615
    • 提供者:juan pablo
  1. lcd

    0下载:
  2. It is a example about LCD by VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2428
    • 提供者:M
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com