CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - PCM

搜索资源列表

  1. pcm

    0下载:
  2. 该程序设计了一个产生PCM码流时序信号的模块,他包括输入端CLK,SET及输出端Q1,Q2,Q3-the program have designed a PCM signal timing modules, including the CLK input, and output SET Q1, Q2 and Q3
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8131
    • 提供者:许嘉璐
  1. VHDL_TP3067_PCM.用VHDL写的控制TP3067实现PCM编译码程序

    2下载:
  2. 用VHDL写的控制TP3067实现PCM编译码程序 包括系统原理图,VHDL源程序,各部分电路仿真。及完整的课程设计报告 ,To use VHDL to write the control of TP3067 to achieve PCM encoding and decoding procedures, including system schematic, VHDL source code, the part of the circuit simulation. And complete
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:3392938
    • 提供者:胡宁博
  1. ulaw.rar

    0下载:
  2. 使用VHDL语言,实现通信脉冲编码调制(PCM)的u律压缩。,Using VHDL language, the realization of communication pulse code modulation (PCM) of u law compression.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5145
    • 提供者:wl
  1. PCMsignal

    0下载:
  2. VHDL编程的PCM码流时隙信号模块,完整地quartus工程文件,可直接运行。-PCM by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:358763
    • 提供者:Alvin
  1. alaw

    0下载:
  2. 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5205
    • 提供者:wl
  1. BFL_Encode

    0下载:
  2. 将宽度为width位的并行输入数据按BiΦ-L码(曼彻斯特码)方式进行编码后串行输出,输出数据的宽度为(2*width),BiΦ-L码是PCM码的一种,常用的PCM编码方式有:NRZ-L,BiΦ-L和BiΦ-M三种-The width of the parallel-bit width input data by BiΦ-L code (Manchester code) way encoded serial output, the output data width (2* width), Bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1144
    • 提供者:贺明辉
  1. PCM

    0下载:
  2. 基于FPGA的PCM编码器与解码器的设计-about fpga and pcm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:121382
    • 提供者:晓刚
  1. ima_adpcm_encoder_latest.tar

    1下载:
  2. This project features a full-hardware sound compressor using the well known algorithm: IMA ADPCM. The core acts as a slave WISHBONE device. The output is perfectly compatible with any sound player with the IMA ADPCM codec (included by defau
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:23108
    • 提供者:Arun
  1. pcm

    1下载:
  2.   在光纤通信系统中,光纤中传输的是二进制光脉冲"0"码和"1"码,它由二进制数字信号对光源进行通断调制而产生。而数字信号是对连续变化的模拟信号进行抽样、量化和编码产生的,称为PCM(pulse code modulation),即脉冲编码调制。这种电的数字信号称为数字基带信号,由PCM电端机产生。-In optical fiber communication systems, fiber-optic transmission of light pulses is a binary "
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:856
    • 提供者:圈石
  1. MAIN_RX_V10

    1下载:
  2. 8路视频光端机 接收侧 VHDL源码,使用了千兆以太网SERDES芯片,基于TBI接口的PCM视频传输。-8-Channel Video Optical Receiver side of VHDL source code, using the Gigabit Ethernet SERDES chip, based on the TBI interface PCM video transmission.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1088554
    • 提供者:tr
  1. ira_1

    0下载:
  2. 基于EP1C6Q240 FPGA 核心板的 红外 发射 接收 扩展板 的PCB SCH 的设计文档,红外发射采用PT2248芯片 完成,调制方式 PCM,红外接收采用接收一体头 完成送 FPGA 解码 后 在扩展板的 数码管模块上显示相应内容-EP1C6Q240 FPGA board based on the core of infrared transmitting and receiving expansion board PCB SCH design documents, infrared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:849742
    • 提供者:明年此时
  1. launch

    0下载:
  2. 基于EP1C6Q240 FPGA 核心板的 红外 发射 接收 扩展板 的PCB SCH 的设计文档,红外发射采用PT2248芯片 完成,调制方式 PCM,红外接收采用接收一体头 完成送 FPGA 解码 后 在扩展板的 数码管模块上显示相应内容-EP1C6Q240 FPGA board based on the core of infrared transmitting and receiving expansion board PCB SCH design documents, infrared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:187038
    • 提供者:明年此时
  1. PCM

    0下载:
  2. 实现模拟信号向数字信号的转换,,同时编译通过,可使用-Analog signal to digital signal conversion, and compile, you can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:47380
    • 提供者:fanjing
  1. pCM

    0下载:
  2. 讲述数据通信PCM码原理 很适合初学者 很好的 你一定要看-About the principles of data communication is very suitable for PCM code you have to look very good for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:946832
    • 提供者:qzl001
  1. pcm

    2下载:
  2. 码率为1000kb/s,字长为8 位、帧长为128 个字、帧同步码为 EB90EB90H 的PCM 采编器-Rate is 1000kb/s, 8-bit word length, frame length is 128 words, frame synchronization of PCM code EB90H editorial control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-15
    • 文件大小:2531328
    • 提供者:qifo
  1. PCM

    1下载:
  2. PCM信号的码同步提取;短脉冲滤除;VHDL语言-PCM code synchronization signal extraction short pulse filter VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:514871
    • 提供者:Troy
  1. PCM

    2下载:
  2. 本例设计一个码率为500kb/s,字长为8 位、帧长为128 个字、帧同步码为EB90H 的PCM 采编器。用VHDL语言实现的。-This designs a code to lead for the 500 kbs|s, the word is long for 8, the growing is synchronous code of for 128 words and for the EB90 H of PCM adopt to weave a machine.Use what VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-06
    • 文件大小:97426
    • 提供者:mr.liu
  1. pcm

    0下载:
  2. 基于VHDL的PCM编码源代码,很值得参考-PCM CODE BASED ON VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6920
    • 提供者:李倩
  1. PCM-Coding

    0下载:
  2. VHDL语言实现了PCM采编器,应用计数器、数据选择器实现了PCM编码与传输控制,系统时钟由分频器实现。-VHDL language PCM editing application counter, the data selector PCM encoding and transmission control of the system clock by a divider.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:568584
    • 提供者:汪晨
  1. PCM

    3下载:
  2. verilog的pcm实现,程序书写规范,值得学习。(The PCM implementation of Verilog, the specification of program writing, is worth learning.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:5366784
    • 提供者:wanna丶
« 12 3 »
搜珍网 www.dssz.com