CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - ds18b20

搜索资源列表

  1. DS18B20+VHDL

    1下载:
  2. 用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:818688
    • 提供者:刘西圣
  1. DS18B20

    1下载:
  2. 用VHDL写的DS18B20温度采集程序,QuartusII的完整工程,控制灵活,易扩展
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100199
    • 提供者:wanyou
  1. DS18B20温度传感器VerilogHDL源码

    0下载:
  2. DS18B20温度传感器VerilogHDL源码,用于控制单线温度传感器DS18B20。
  3. 所属分类:VHDL编程

    • 发布日期:2010-08-17
    • 文件大小:443105
    • 提供者:hy2007@163.com
  1. ds18b20.ds18b20的Verilog程序

    0下载:
  2. ds18b20的Verilog程序,经测试验证可以使用。注意此版本为DALLS DS18B20而不是DS1820,注意加5K上拉电阻。,ds18b20 the Verilog process can be used to verify by testing. Note that this version rather than DALLS DS18B20 for DS1820, the attention of Canadian 5K pull-up resistor.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2931
    • 提供者:sdf
  1. ds18b20

    0下载:
  2. 单路DS18B20的verilog HDL 代码,精度为1℃无须转换数据,直接输出结果。占用300个LE资源。-Single DS18B20 the verilog HDL code, and an accuracy of 1 ℃ without converting the data, direct output. Occupy 300 LE resources.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:443065
    • 提供者:chenwl
  1. ds18b20

    0下载:
  2. ds18b20的介绍及时序,以及对ds18b20进行温度读写的vhdl程序,在quartus环境下进行编译仿真-Introduction ds18b20 and timing, as well as read and write ds18b20 temperature vhdl procedure quartus compiled simulation environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:14688
    • 提供者:dreamy
  1. DS18b20

    0下载:
  2. 这是一个工业用的普通温度传感器DS18b20的VHDL文件,直接可用,可为FPGA的其他逻辑模块或者Nios提供接口,其输出为18b20的11位温度暂存器的值。-This is a common logic module for DS18b20 which can provides parallel outputs for Nios II or other internal units of FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2546
    • 提供者:刘伟
  1. ds18b20

    0下载:
  2. 这是基于NIOS II的 DS18B20 的源码,绝对可用本人已经调试成功,希望对大家有-It s a DS18B20 code for nios ii.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1673688
    • 提供者:tom
  1. ds18b20-vhdl

    0下载:
  2. vhdl写的ds18b20程序,相互交流-vhdl written ds18b20 procedures, mutual exchange
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1298
    • 提供者:yudezhao
  1. DS18B20

    0下载:
  2. 基于vhdl的温度控制器(选用ds18b20)-The temperature controller based on vhdl (optional ds18b20)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:898375
    • 提供者:何思
  1. DS18B20

    0下载:
  2. verilog 简单易懂DS18B20 入门级程序-DS18B20 digital temeperature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2238
    • 提供者:LIUFANGWU
  1. DS18B20

    0下载:
  2. 用VHDL写的DS18B20程序,用注解,非常实用-DS18B20 written with VHDL program, with comments, very useful ~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:97583
    • 提供者:lidejjj
  1. DS18B20

    0下载:
  2. 基于VerilogHDL开发的DS18B20温度传感器实验程序。-VerilogHDL developed based on experimental procedures DS18B20 temperature sensor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2423525
    • 提供者:sun pei
  1. DS18B20

    0下载:
  2. verilog 写的ds18b20控制器-verilog write ds18b20 controller! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2332
    • 提供者:ecclles
  1. DS18B20

    0下载:
  2. Quartus 9.0 ,VHDL语言写的ds18b20 温度测量,1602液晶显示,还有报警功能,开发板用的是EP1C12F3234C8。-Quartus 9, VHDL language to write the DS18B20temperature measurement,1602 liquid crystal display, and the alarm function, development board is used in EP1C12F3234C8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1404250
    • 提供者:my_name
  1. DS18B20

    0下载:
  2. 配置DS18B20的FPGA的简易代码,方便移植和修改。(Configure the FPGA code for DS18B20)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:2048
    • 提供者:icei84
  1. DS18B20-FPGA

    0下载:
  2. 基于fpga的ds18b20测温程序以及数码管显示(DS18B20 temperature measurement program and digital tube display based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

  1. DS18B20

    0下载:
  2. 利用FPGA来采集DS18B20数字温度传感器,完成测温采集的功能(The use of FPGA to collect DS18B20 digital temperature sensor to complete the function of temperature measurement and collection)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:27648
    • 提供者:天威浩荡
  1. ds18b20

    0下载:
  2. ds18b20单线温度传感器驱动,可直接上板。包括工程文件。(DS18B20 single line temperature sensor drive, can be directly on the board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:23552
    • 提供者:橙子很好吃
  1. VerilogHDL解码DS18B20

    1下载:
  2. DS18B20解码代码,verilogHDL实现。
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 »
搜珍网 www.dssz.com