CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - games

搜索资源列表

  1. plane_game

    1下载:
  2. 此为一用VHDL编写的硬件游戏程序,在16*16的点阵上实现了打飞机游戏,可以打飞机,也可以把飞机躲过去。挺有意思的。-this as a preparation using VHDL hardware Games, 16 * 16 in the lattice achieving an aircraft game, it could have aircraft and the aircraft can escape to. Quite interesting.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:48338
    • 提供者:万广鲁
  1. Game_HLD3Core(400)_(C)

    0下载:
  2. 接VGA 显示器和鼠标,可以使用鼠标完成弹球游戏-access VGA display and mouse, you can use the mouse to complete Billiard games
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1005879
    • 提供者:fanbo
  1. VHDLexample49

    1下载:
  2. VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44507
    • 提供者:刘一
  1. saicheyouxi

    0下载:
  2. 用VHDL软件开发了赛车游戏,经过max plus 2的验证 很好而且很实用 很有意思-VHDL software was developed with racing games, after a good verification max plus 2 very interesting and very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3523701
    • 提供者:卧虎
  1. Racinggame

    2下载:
  2. 赛车游戏,VHDL数字系统设计,经过QUARTUS的验证,非常好用,有非常丰富的解释,游戏有赛道,碰赛道者挂,GAME OVER-Racing game, VHDL digital system design, through verification QUARTUS, very easy to use, has a very rich interpretation, games have the track circuit are linked to touch, GAME OVER
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-28
    • 文件大小:3497619
    • 提供者:ayls
  1. baheyouxiji

    0下载:
  2. 拔河游戏机, 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的加
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6109
    • 提供者:kxsh
  1. pinball_vhdl

    0下载:
  2. 学长数字系统设计的技术报告,做的是一个点阵实现的弹球游戏,自己做这个的时候也是参考这份资料的,非常具有参考价值-Digital System Design Mentor technical report, do a lattice realization of pinball games, their is also a reference to do this when the data have great reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:276064
    • 提供者:林云龙
  1. pinball

    0下载:
  2. 此程序为在FPGA开发平台上用VHDL语言编程实现二维模拟打弹球游戏。-This program FPGA development platform in the VHDL language programming with two-dimensional simulation of pinball games to play.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:277264
    • 提供者:梁乐
  1. VGAfive

    0下载:
  2. 实现VGA显示,并在其中可进行5子棋游戏,基于NIOSII的-Achieve VGA display, and in which the child can be 5, chess games, based on the NIOSII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11860134
    • 提供者:zhangmeng
  1. vga_Car

    0下载:
  2. 本实验采用XILINX公司的SPARTAN III系列 的XC3S250E开发板实现赛车游戏机,其基本功能包括:用PS2键盘控制VGA显示,包括小车动态下落,底层小车移动,小车碰撞的判断等内容,当下落的小车和底层小车发生碰撞,则游戏结束。-The the company XILINX XC3S250E SPARTAN III development board series of racing games to achieve its basic features include: VGA d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:956769
    • 提供者:gaoliangy
  1. Tug-of-War-Game

    0下载:
  2. 拔河游戏机需要9个发光二极管排成一行,开机后只有中间一个亮点,以此作为拔河的中间线,游戏双方各持一个按键,迅速、不断地按动产生脉冲,哪方按得快,亮点就向哪方移动,每按一次,亮点移动一次。移到任一方二极管的终端,该方获胜,此时双方按键均无作用,输出保持,只有经复位后才能使亮点恢复到中心线。-Tug of War game 9 LEDs need to line up, the boot after only a bright spot in the middle as the middle lin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:10037
    • 提供者:陈明
  1. EDA

    0下载:
  2. 基于VHDL实现乒乓球游戏机的功能,以发光二极管模拟乒乓球及球网,可以选择球的速度-VHDL implementation based on the function of table tennis games, table tennis and with LED simulated the net, you can choose the speed of the ball
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:947350
    • 提供者:jinlongli
  1. showhand

    0下载:
  2. 一个基于FPGA的人机对战梭哈游戏,包括键盘操作,屏幕显示。开发环境是quartus ii 8.0。由于工程文件过大,只含有源码,管脚绑定文件,已经综合电路-A FPGA-based man-machine battle Stud games, including keyboard, display screen. Development environment is quartus ii 8.0.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:261388
    • 提供者:czw
  1. vga_lcd_latest.tar

    0下载:
  2. vga lcd 控制器 24位VGA控制,支持12位DVI协议-This embedded VGA core capable of driving CRT and LCD displays. It supports user programmable resolutions and video timings, which are limited only by the available WISHBONE bandwidth. Making it compatible with almost
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1789095
    • 提供者:安隹亚
  1. pptest

    0下载:
  2. vhdl代码的乒乓球游戏程序,使用de2平台验证-vhdl code of the table tennis games, platform verification using de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:674978
    • 提供者:dingxing
  1. LCDPS2-control

    0下载:
  2. LCD显示游戏,PS2鼠标控制示例-LCD display games, PS2 mouse control example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:111401
    • 提供者:吴江波
  1. moveophone

    0下载:
  2. 移动式的游戏控制器基于vhdl. 简单结构 目前只能识别led-Due to the recent trend in creating devices that allow the playing of games using movement rather than a traditional joystick, controller, or keyboard, we felt that a project that followed this idea would be interestin
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:7315225
    • 提供者:张洋洋
  1. greedy_snake_vhdl

    0下载:
  2. 用VHDL实现的贪食蛇对战游戏,支持串口实现的联机对战-Battle using VHDL Snake games, support the serial realization of online battle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-12-13
    • 文件大小:706560
    • 提供者:Zhang Le
  1. fpga_nes-master

    3下载:
  2. 这是一个完整的红白机nes游戏fpga实现,经测试可用,使用ise14.1以上版本的工程文件,开发板使用的是xilinx spartan6-This is a complete NES nes games fpga implementation, the test is available, use ise14.1 above version of the project file, the development board using xilinx spartan6
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-30
    • 文件大小:20436992
    • 提供者:于洋
  1. ball_game

    0下载:
  2. VHDL VGA 弹球游戏 基于Xilinx Spartan 3E的FPGA 通过VGA显示弹球游戏-VHDL VGA pinball game is based on Xilinx Spartan 3E FPGA pinball games via VGA display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4777
    • 提供者:胡杨
« 12 »
搜珍网 www.dssz.com