CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - io

搜索资源列表

  1. userbscan

    0下载:
  2. xilinx FPGA上使用jtag接口作为用户IO的源码。支持任意位宽度。-Xilinx FPGAs use JTAG interface as user IO source. Support for arbitrary bit width.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1696
    • 提供者:尹成科
  1. System09

    0下载:
  2. BurchED B5-X300 Spartan2e using XC2S300e device Top level file for 6809 compatible system on a chip Designed with Xilinx XC2S300e Spartan 2+ FPGA. Implemented With BurchED B5-X300 FPGA board, B5-SRAM module, B5-CF module and B5-FPGA-CPU-IO
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:610666
    • 提供者:陈朋
  1. tcl_io

    0下载:
  2. quartus 中,自己写的tcl,分配io的例子。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26323
    • 提供者:xad
  1. io-sortation

    0下载:
  2. quartus 中,高级io分配,手动的例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:26514
    • 提供者:xad
  1. at96

    1下载:
  2. isa总线接口,可以实现与isa总线 的IO和MEMERY接口
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2748
    • 提供者:jz
  1. seethefunctiondescribe

    0下载:
  2. FPGA中双向端口IO的研究.pdf。 和大家分享!^_^
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:116424
    • 提供者:whq
  1. LPT.rar

    0下载:
  2. 实现开漏输出的并口,支持3.3V或5V,支持FPGA 的PS 配置功能。8位配置数据 自动移位输出,输入时钟24MHz,产生1MHz配置时钟。8位CPU数据总线接口, 11位地址总线。支持IO 的置位清除功能。,The realization of open-drain output of the parallel port, support 3.3V or 5V, support for FPGA configuration of the PS function. 8-bit config
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2576
    • 提供者:tianrongcai
  1. 8051ip

    0下载:
  2. fpga 51核,这个是我老师写的,现在就是输入输出io是分别定义的,希望能给大家提供一点帮助!-fpga 51 nuclear, this is written by my teacher, this is the input and output, respectively, the definition of io is the hope that we can provide a little help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13709336
    • 提供者:张双洋
  1. LCD12864

    0下载:
  2. 利用FPGA在12864液晶屏上显示汉字。配置IO后可直接使用-Use of FPGA in the 12864 character LCD display. IO configuration can be used directly after
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-26
    • 文件大小:1588
    • 提供者:彭杨
  1. xtp051_sp601_schematics

    0下载:
  2. Xilinx公司最新的Spartan 6系列FPGA所用的开发板电路图,详尽包括了电源、IO、外设、USB等部分的内容,极具有参考价值,另外还有一个USB芯片 68013所使用的HEX文件可供下载-Xilinx' s new Spartan 6 Series FPGA development board used in circuit detail, including the power, IO, peripherals, USB and some other content, most
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:311446
    • 提供者:Frank
  1. SHIYAN5

    0下载:
  2. IO设备vhdl语言1234556778892341-IO equipment VHDL language 1234556778892341
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:489163
    • 提供者:yuxiaoyun
  1. I2C_to_GPIO

    0下载:
  2. 用I2C总线扩展IO口的verilogHDL程序-I2C bus with expansion IO port verilogHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2104
    • 提供者:秦建
  1. write_io

    0下载:
  2. DSP EMIF 扩展io程序 DSP EMIF 扩展io程序-DSP EMIF procedures to expand io expansion io procedures DSP EMIF
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:94801
    • 提供者:hanmy
  1. tut_embedded_programming_verilog_C_DE2

    0下载:
  2. This tutorial explains how to communicate with IO devices on the DE2 Board and how to deal with interrupts using C and the Altera Monitor Program. Two example programs are given that diplay the state of the toggle switches on the red LEDs. The ᤙ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:166917
    • 提供者:*Roma*
  1. io

    0下载:
  2. io 组件,用vhdl实现io端口的控制,包括输入输出,握手信号,-io port VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:henin
  1. Text-IO

    0下载:
  2. 基于VHDL的Testbench读取文件的编写,很有用的 基于VHDL的Testbench读取文件的编写,很有用的-VHDL Code text_io for the "Simple Test Bench" example VHDL Code about text_io for the "Simple Test Bench" example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:777
    • 提供者:帅哥新
  1. IO-FPGA

    1下载:
  2. 这个程序是io板卡的fpga程序,可以实现数据通讯功能-This program is io board fpga program, you can achieve the data communication function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:185613
    • 提供者:wu
  1. IO-PCI

    0下载:
  2. 这个程序的io板卡的pci驱动,可以实现io板卡的pci通讯-Io board of this program the pci driver, io board pci communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2256
    • 提供者:wu
  1. IO-timing-constrain-in-fpga

    0下载:
  2. 对FPGA的IO口的时序分析小结,能够详细理解其约束时序规则-FPGA timing analysis summary of IO port, capable of a detailed understanding of its timing constraint rules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:189376
    • 提供者:张龙
  1. IO

    0下载:
  2. 基于NEXYS4 和ISE14.7开发的并行IO接口设计,达到数码管滚动显示数字的功能-NEXYS4 and ISE14.7 developed parallel IO interface based, to the digital display digital scroll function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:109994
    • 提供者:叶爽
« 12 3 4 »
搜珍网 www.dssz.com