CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - process

搜索资源列表

  1. pulse_change

    0下载:
  2. 用vhdl实现脉冲宽度可控的一简单程序 仿真环境MAXPLUS--use VHDL to achieve controllable pulse width of a simple process simulation environment Segments-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:183569
    • 提供者:dm
  1. Game_HLD3

    0下载:
  2. 基于fpga和xinlinx ise的小游戏的vhdl程序,希望对你有所帮助!-xinlinx and they simply based on the small game and ideally the VHDL process, and I hope to help you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:986916
    • 提供者:王萌
  1. Max232ForHLD3(20040913)(OK)

    0下载:
  2. 基于fpga和xinlinx ise的串行通信vhdl程序,希望对你有所帮助!-xinlinx and ideally serial communications VHDL process, and I hope to help you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:463858
    • 提供者:王萌
  1. Music_HLD3

    0下载:
  2. 基于fpga和xinlinx ise的音乐播放器vhdl程序,希望对你有所帮助!-and xinlinx ideally music player VHDL process, and I hope to help you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:609286
    • 提供者:王萌
  1. dianzizhong

    0下载:
  2. 这是我在学习过程中编的数字钟的原程序,含各种时钟模块,以及计数器,累加器等,可以直接下载,已经编译通过!-This is my learning process in the middle of the 10-minute program, containing various clock module and the counter, accumulator, and can download, compile!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:551572
    • 提供者:刘恒辉
  1. 一些VHDL源代码

    0下载:
  2. 内有波形发生器,加法器,经典双进程状态机,伪随机熟产生器,相应加法器的测试向量,16×8bit RAM,FIFO,通用RAM等源程序-within waveform generator, Adder, classic dual-process state machine, cooked pseudo-random generator, the corresponding Adder test vector, 16 x 8bit RAM, FIFO, etc. source generic RAM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45110
    • 提供者:蔡孟颖
  1. 430VS串口

    0下载:
  2. 给予MSP430F147的串口通讯程序,能帮助你了解MSP430系列单片机和串口通讯的基本方法-give MSP430F147 Serial communication process can help you understand the MSP430 MCU serial communications and the basic methods
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:110532
    • 提供者:孙德辉
  1. CH1VHDL 数字电路参考书所有程序1

    0下载:
  2. VHDL 与数字电路设计程序参考书所有程序 1-VHDL and digital circuit design process all the procedures a reference book
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13701
    • 提供者:胡计划
  1. baud

    0下载:
  2. vhdl 很好用于串行通信. 三个模快,发生时钟,发送和 接收过程-VHDL good for serial communication. Three die fast, occurred clock, sending and receiving process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125471
    • 提供者:刘三
  1. Visio-绘图21

    0下载:
  2. 这是asic流程例子.文件内容已经验证过.如有疑问和我联系-This is the process blends example. The contents of the documents has been proven. And I doubt if links
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19588
    • 提供者:john
  1. 44vhdl

    0下载:
  2. 44个vhdl实例 注1: 含有不可综合语句,请自行修改 注2: 一些PLD只允许I/O口对外三态,不支持内部三态,使用时要注意 注3: 设计RAM的最好方法是利用器件厂家提供的软件自动生成RAM元件,并在VHDL程序中例化-44 VHDL examples Note 1 : Includes an integrated statement, the initiative to revise Note 2 : Some PLD only allows I / O exte
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44575
    • 提供者:土木文田
  1. fpga-example2

    1下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:618922
    • 提供者:张伟
  1. pwm-20010309[1].tar

    0下载:
  2. PWM产生程序,绝对经典,好就顶一下先,谢谢了-PWM a process absolute classics, and what good on top first, I thank the
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:151869
    • 提供者:刘佛印
  1. EDAchuzuchejijia

    0下载:
  2. 在本示例程序中,用VHDL语言实现了出租车的记价功能,在Maxplus2环境下编写,可通过cpld下载板来验证程序。在压缩包中附有示例的目的,方法和仿真时序图,是学习VHDL好例子。-in this sample program, using VHDL of the entry price of a taxi function, in preparation FLEX10K environment, through cpld download plate to the verification
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:339949
    • 提供者:bkd
  1. FPGAdesignstudy

    0下载:
  2. 介绍了FPGA设计全流程 和一些简单的例子-introduced FPGA design the whole process and some simple examples
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:218262
    • 提供者:谭建军
  1. duble-process-lock

    0下载:
  2. 编写由两个主控进程构成的与上述功能相同的符号化Moore型有限状态机-The process of writing composed by two main control functions with the same symbol of Moore-type finite state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:661
    • 提供者:denwei0011
  1. Huawei-FPGA-design-process-guide

    1下载:
  2. Huawei FPGA design process guide.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:32106
    • 提供者:sean
  1. Process-P-FPGA_1

    0下载:
  2. this document contain many search papers wich descrid the system Process trainer PT326 and the control method of systems using FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:4543863
    • 提供者:skan
  1. Process-control-module-VHDL-code

    1下载:
  2. 此为基于FPGA的直流伺服系统的设计,具体为过程控制模块VHDL代码-This is the dc servo system based on FPGA design, specific for process control module VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:8983
    • 提供者:黄平
  1. FPGA-QUARTUS_II-process

    0下载:
  2. 使用QUARTUS_II做FPGA开发全流程 程序-FPGA development QUARTUS_II do the whole process procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1329053
    • 提供者:lizihe
« 12 3 4 5 6 7 8 9 10 ... 45 »
搜珍网 www.dssz.com