CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - push

搜索资源列表

  1. ALU1

    0下载:
  2. ALU 指令格式(16位) op DR SR fun 0--3 4—7 8--11 12--15           指令类 OP码 指令 FUN 功能描述 控制 0000  NOP 0000 空指令 HLT 0001 停机 有条件跳转 0010  JZ 0000 Z=1,跳转 JC 0001 C=1,跳转 JNC 0010 C=0,跳转 JNZ 0100 Z=0,跳转 Jump 0101 无条件跳转 LOAD 001
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1078
    • 提供者:翟志强
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. game

    0下载:
  2. 小游戏规则: led(0 to 3)是按一定规律不断发亮,每次只有一个灯亮,每个灯都和我们BASYS板上的四个按钮中的一个对应着,当Led(0 to 3)中的灯亮时,我们要按对相应的按钮时候,在led(4 to 7)中对应的按钮就会亮起,并且八段码显示的数字也相应的加上一,要是按错了led(4 to 7)灯不亮,且显示数字减1。-A small game in which LED(0 to 3) lights in turn. Just one LED turns on each tim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1340616
    • 提供者:大侠
  1. EXCD-1

    0下载:
  2. 这是基于XILINX公司主推的一款性价比十分高的FPGA开发办的资料原理图,希望对大家有帮助-This is based on the company' s main push of a XILINX very high cost FPGA development office schematic information, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:140104
    • 提供者:zhanghan
  1. debounce

    0下载:
  2. push button program that take 20ms afther that it load data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:840
    • 提供者:xzorox
  1. Final

    0下载:
  2. This module contains a digital clock which can enables clock setup option and up to four alarms. This was targeted Virtex-5 FPGA (ML501) and interfaced with LCD display. and center, north and east push buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1090920
    • 提供者:mvnvprasad
  1. asagi-yukari-sayan-sayici

    0下载:
  2. up down counter with push button
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:855
    • 提供者:asdf
  1. NIOS_II_example_button_interrupts_s

    0下载:
  2. NIOS II example to implement push-button interrupts.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3146993
    • 提供者:jaime
  1. Game1

    0下载:
  2. Game uses LEDS. 2 players participate and push button.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:668
    • 提供者:Spizero
  1. debounce1

    0下载:
  2. Debouncing Circuit implementing the Testing Circuit show in the Illustration 1. The input of verification is from a push button switch. In the lower part, the signal is first fed to a debouncing circuit and the to a rising edge detector.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:922782
    • 提供者:StevenChung
  1. eepromFINALcorto

    0下载:
  2. Basically it waits for a interrupt (push button) and checks if an eeprom 24c64 has FF in all its address then turns a led if true, this is only if the switch in port D is closed, if not, it writes a byte number "i" in the adress number "i" and then v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:594
    • 提供者:Maus
  1. clockbuffer

    0下载:
  2. Code for debouncing push buttons
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1123
    • 提供者:Cian
  1. Dip_PB_LED

    0下载:
  2. 4 bit counter. 1 Push Button (PB) and 1 Dip Switch (DP)are inputs. 4 Leds (common anode) are outputs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1531
    • 提供者:nada
  1. Push-Button

    0下载:
  2. 旋转按键源码,完美体现控制led的左移右移-Rotating key source, the perfect embodiment of control led the shift left shift right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:286088
    • 提供者:Li
  1. Sum_of_2_rand

    0下载:
  2. We produce two 5-bit random numbers and then adds them. The two random numbers are generated by pressing two different push-buttons on the lab board. The addition is controlled by a third button, button3. it can be implemented on the Atlys board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:2382
    • 提供者:宋臣
  1. CHENLI_VHDL_FINAL

    0下载:
  2. 四个按钮代表加法,减法,乘法和输入。也有8个二进制开关,用于输入两个操作数为每个计算。四位十六进制显示所选择的操作数和计算结果。-You will design a hexadecimal calculator.four push buttons represent Addition, Subtraction, Multiplication and Enter. There are also eight binary switches used to enter two operands for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:404560
    • 提供者:Li Chen
  1. push-pull--vhdl

    0下载:
  2. vhdl 拔河,实现二人游戏-push-pull vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:966
    • 提供者:万中原
  1. display

    0下载:
  2. seven segment display apllication with only one push button up counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:42748
    • 提供者:resul koksal
  1. lock

    0下载:
  2. 本设计 一个4 位数字锁,并验证其操作。 1、基本功能:     (1 )开锁密码为 4 位十进制数,通过按钮输入密码,输入的密码在4个数码管上显示,若与锁内预置的密码一致,输出开锁信号(以点亮一个LED灯表示开锁)。    (2)按钮开关输入须消抖处理。 2、扩展功能:    用户可以设置锁内的密码;    若输入密码三次不正确,输出报警信号,报警信号可以通过闪烁LED或某个数码管上小数点指示。    设置一个复位按键,忘记密码后可通过该复位按键恢复出厂原
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1186512
    • 提供者:李杰
  1. PushBox

    0下载:
  2. 推箱子游戏,使用Verilog语言编写,可以完美运行。-Push box game, using Verilog language, you can run the perfect.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6249565
    • 提供者:逍遥一笑
« 12 »
搜珍网 www.dssz.com