CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 数值算法/人工智能 搜索资源 - FPGA

搜索资源列表

  1. cordic

    0下载:
  2. cordic算法的VHDL实现,在FPGA下应用-cordic VHDL algorithm implemented in the FPGA application under
  3. 所属分类:Data structs

    • 发布日期:2017-03-31
    • 文件大小:48064
    • 提供者:范丹丹
  1. cocahome_20100403094552

    0下载:
  2. 实现基于FPGA的turbo码的编解码matlab代码-FPGA implementation of turbo codes based codec matlab code
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:30776
    • 提供者:zhang
  1. FFT

    0下载:
  2. 自己用Matlab写的FFT的代码,主要是为了FPGA实现的前期建模-FFT using Matlab to write their own code, mainly to preliminary modeling of FPGA implementation
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:7936
    • 提供者:zhangqunli
  1. BP_net

    0下载:
  2. BP神经网络的前向计算硬件程序,经过FPGA调试。-BP neural network hardware prior to the calculation procedure, through the FPGA debug.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-01
    • 文件大小:2844
    • 提供者:王乐
  1. FPGA

    0下载:
  2. The FPGA interface design
  3. 所属分类:matlab

    • 发布日期:2017-05-20
    • 文件大小:5952585
    • 提供者:辛凯
  1. nlpf

    1下载:
  2. This simple Matlab function simulates a innovative algorithm for narrow band interference mitigation for wireless communications, esp for satellite comm. The algorithm looks similar to LMS, but error is non-linearly transformed. It works well and a
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:842
    • 提供者:徐滨
  1. pcayes

    0下载:
  2. face detection based on fpga
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:244686
    • 提供者:roop
  1. cdma2k_ddc_12_1

    2下载:
  2. matlab simulink 开发的CDMA2K DDC数字下变频器和滤波器,使用XILINX FPGA V5系列,并包含DDC每个阶段的输出验证matlab程序,非常实用。-matlab simulink developed CDMA2K DDC digital down converter and filter, using the XILINX FPGA V5 series, and contains the output of each stage of verification DD
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:33454
    • 提供者:helon
  1. Huffmann-Coding-FPGA

    0下载:
  2. huffman coding in vhdl or verilog with explanation
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-31
    • 文件大小:478725
    • 提供者:sandeep
  1. makecoe

    4下载:
  2. matlab生成*.COE文件,用于xilinx公司FPGA内部存储器的初始化文件-matlab generate*. COE file for xilinx FPGA internal memory company initialization file
  3. 所属分类:matlab例程

    • 发布日期:2014-02-02
    • 文件大小:5558
    • 提供者:hemy
  1. FPGA

    0下载:
  2. 计算机图形图象学中基于FPGA的铅笔画绘制算法结构设计及优化-Studies in computer graphics image of the pencil drawing based algorithm for FPGA Design and Optimization
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-06
    • 文件大小:1203455
    • 提供者:chengjia
  1. fpga-jpeg

    1下载:
  2. jpeg编码verilo语言的FPGA实现-jpeg encode fpga implement with verilog
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-11-12
    • 文件大小:103851
    • 提供者:xushuang
  1. 33682546-Imlementation-of-ANN-on-FPGA

    0下载:
  2. Implementation of Artificial Neural Networks on FPGA (in VHDL)
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-03
    • 文件大小:537866
    • 提供者:kalmah
  1. sha256-FPGA

    0下载:
  2. sha256 FPGA-sha256 FPGA
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-25
    • 文件大小:168277
    • 提供者:sik
  1. fpga

    0下载:
  2. ldpc码的FPGA编译与仿真实现,欢迎分享,分享快乐。-LDPC code compilation and simulation。
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2223
    • 提供者:风弦
  1. matlab-and-fpga-FIR

    0下载:
  2. 基于MATLAB和FPGA的FIR滤波器的各种资料和程序代码-All kinds of data of the FIR filter based on MATLAB and the FPGA and program code
  3. 所属分类:matlab

    • 发布日期:2017-05-30
    • 文件大小:12445696
    • 提供者:孙旭丽
  1. Gps-receiver-using-xilinx-fpga-and-ti-dsp-in-matl

    1下载:
  2. Gps receiver using xilinx fpga and ti dsp in matlab
  3. 所属分类:matlab

    • 发布日期:2017-05-14
    • 文件大小:3233587
    • 提供者:liu
  1. 1.bin

    0下载:
  2. testing matlab fpga codes
  3. 所属分类:matlab例程

    • 发布日期:2017-12-30
    • 文件大小:102400
    • 提供者:deyruihwuf
  1. 基于FPGA的高速高斯随机数发生器_陆兴平

    0下载:
  2. 介绍了一种利用FPGA硬件平台生成高斯随机数的算法(An algorithm for generating Gauss random numbers using FPGA hardware platform is introduced.)
  3. 所属分类:数学计算

    • 发布日期:2017-12-28
    • 文件大小:32768
    • 提供者:曾今的1994
  1. SV

    0下载:
  2. 基于dspbuilder的svpwm仿真,可以直接转化为VHDL程序,加载到FPGA中使用(SVPWM simulation based on dspbuilder, can be directly converted to VHDL program, loaded into the use of FPGA)
  3. 所属分类:matlab例程

    • 发布日期:2018-01-05
    • 文件大小:13312
    • 提供者:灵萱uiq
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com