CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - vhdl

搜索资源列表

  1. nco

    0下载:
  2. 用VHDL语言写好得,直接可用NCO设计
  3. 所属分类:书籍源码

    • 发布日期:2014-01-17
    • 文件大小:34317
    • 提供者:long
  1. cic4

    1下载:
  2. cic 4 stages vhdl code
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1157
    • 提供者:dehua
  1. ALU

    0下载:
  2. vhdl 语言程序设计,包括alu, mux 部分的程序设计。
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:62074
    • 提供者:dehua
  1. fftcode

    0下载:
  2. VHDL 的FFT 1024点源码。既有VHDL 的,也有Verlog的。比较好用。占用资源少
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:37664
    • 提供者:张加良
  1. ttyscz

    0下载:
  2. 运用vhdl语言编程,是数字逻辑中的电子钟!各模块及源代码都有,适合电信同学使用!
  3. 所属分类:书籍源码

    • 发布日期:2014-01-17
    • 文件大小:124269
    • 提供者:天涯
  1. Oscilloscope

    0下载:
  2. 罗马尼亚克鲁日工程大学Mircea Dă bâ can, PhD提供的示波器开发全文挡及C,VHDL代码.
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:976232
    • 提供者:hxf
  1. shuma

    0下载:
  2. 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例6-1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如图6-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为 \"1101101\" 时,数码管的7个段:g、f、e、d、c、b、a分
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:206096
    • 提供者:张龙
  1. lift

    0下载:
  2. 用fpga控制电梯,实现五层电梯的升降控制,运用vhdl编辑程序.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:276212
    • 提供者:郭翠双
  1. cmi

    0下载:
  2. 伪随机序列码发生器及基带传输CMI码编、译码的VHDL语言实现
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:179050
    • 提供者:winnichan
  1. X-HDL3.2.52

    1下载:
  2. VHDL与VerilogHDL语言之间相互转换
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:3959263
    • 提供者:
  1. ledctrl

    0下载:
  2. 利用74ls164控制数码管的vhdl程序,采用A与时钟两个信号共同控制,
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1270
    • 提供者:gcy
  1. TLC7524Code

    0下载:
  2. TLC7524接口电路程序的VHDL程序代码
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:4104
    • 提供者:贺平
  1. code

    0下载:
  2. FPGA系统设计与实践的VHDL程序代码
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:1277663
    • 提供者:贺平
  1. da8

    0下载:
  2. TLV5628 8位da的vhdl控制程序,以验证
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1235
    • 提供者:gcy
  1. ram

    0下载:
  2. fpga中ram的vhdl的经典程序,适用于ALTERA公司器件
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:1414
    • 提供者:gcy
  1. trident-0.7.1

    0下载:
  2. 一种将c高级语言转化给VHDL的编译器,其转化的c语言是一个子集,可用于动态可重构的系统中
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:744705
    • 提供者:gikidy
  1. M_generate

    0下载:
  2. m序列产生编码,vhdl硬件实现用于实现调制解调
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:248124
    • 提供者:xiaohuaifeng
  1. firVerilog

    0下载:
  2. 里面是一个FIR滤波器的VHDL语言 具体的功能里面有详细的介绍 对毕业设计者很有帮助的
  3. 所属分类:MacOS编程

    • 发布日期:2008-10-13
    • 文件大小:4516
    • 提供者:丛宇
  1. PWM

    0下载:
  2. 利用汇编语言编写,VHDL,实现PWM波形转换电压,直接导入单片机即可运行,产生波形输出实现转换电压的功能.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1065041
    • 提供者:yangfan
  1. reg_add

    0下载:
  2. 自己用VHDL写的并行乘法累加和元算,很好用,我在开发中经常直接调用
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:524252
    • 提供者:xu wen qiang
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 37 »
搜珍网 www.dssz.com