CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 编译器/词法分析 搜索资源 - vhdl

搜索资源列表

  1. turbo_VHDL

    2下载:
  2. Turbo Decoder Release 0.3 * Double binary, DVB-RCS code * Soft Output Viterbi Algorithm * MyHDL cycle/bit accurate model * Synthesizable VHDL model -Turbo Decoder Release 0.3 * Double binary, DVB-RCS code * Soft Output Viterbi Algorithm * M
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:154770
    • 提供者:鲁京
  1. usb_funct

    0下载:
  2. USB接口的VHDL源码,支持Verilog HDL程序-USB VHDL source code, supports Verilog HDL procedures
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:230536
    • 提供者:王森
  1. jicifenpinqi

    0下载:
  2. 别人编写的奇次分频器,用VHDL写的,我已经在QUARTUS上验证过了-others prepared by the odd dividers, VHDL write, I have QUARTUS tested the
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:28937
    • 提供者:yuxyoo
  1. and1

    0下载:
  2. 用VHDL语言编写的三位二进制的乘法器,其原理是每位相乘后再错位相加-using VHDL prepared by the three binary multipliers, the principle is that each subsequent dislocation multiplication sum
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:36528
    • 提供者:yanyuntao
  1. sub4

    0下载:
  2. 用VHDL语言编写的两个四位二进制数相减,其结果会出现进位-using VHDL prepared by the two four binary-phase reduction, and the results will be there to rounding
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:32865
    • 提供者:yanyuntao
  1. 1_4

    0下载:
  2. 一对四分用器的VHDL源码,(输入:D ,输出: Y3 Y2 Y1 Y0,另有两个输入控制端S1与S0控制输出选择)-tended to a quarter of VHDL source code, (Input : D, output : Y3 Y2 Y1 Y0. otherwise control the importation of two-S1 and S0 output control options)
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1180
    • 提供者:范文晓
  1. ADDER8B

    0下载:
  2. 8位加法器VHDL 8位加法器VHDL-eight Adder VHDL e ight Adder VHDL eight Adder VHDL 8 Adder VHDL
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:45064
    • 提供者:秦顺金
  1. xianweiji

    0下载:
  2. vhdl编写的频率计程序,很好用,误差为0
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:1842
    • 提供者:两鬓
  1. S6_MUSIC

    0下载:
  2. 上个用VHDL语言写的音乐程序,希望对大家能够有帮助
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:283580
    • 提供者:张侨
  1. S4_FENGPING

    0下载:
  2. 这是一个用VHDL语言写的分频程序,可用得着
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:131380
    • 提供者:张侨
  1. lc2

    0下载:
  2. this a pack include source code for quartus 2. It is an implementation of the LC2. The LC-2 computer is described in Introduction to Computing Systems from Bits & Gates to C & Beyond by Yale Patt and Sanjay Patel, McGraw Hill, 2001. The LC2 mode
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:43004
    • 提供者:ngzhongsyen
  1. QPSKvhdl.rar

    0下载:
  2. QPSK的VHDL调制解调 FPGA设计思路思想,QPSK modulation and demodulation of the VHDL design thinking FPGA
  3. 所属分类:Compiler program

    • 发布日期:2017-04-09
    • 文件大小:1615721
    • 提供者:liming
  1. vhdl

    0下载:
  2. 出租车计费系统的设计 2.1 出租车计费器工作原理 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元)
  3. 所属分类:Compiler program

    • 发布日期:2017-04-01
    • 文件大小:132855
    • 提供者:635001
  1. i2c_latest[1].tar

    0下载:
  2. I2C VHDL source code
  3. 所属分类:Compiler program

    • 发布日期:2017-05-04
    • 文件大小:1486109
    • 提供者:tan
  1. i2c_master_slave_core_latest[1].tar

    0下载:
  2. I2C VHDL source code
  3. 所属分类:Compiler program

    • 发布日期:2017-05-17
    • 文件大小:4562612
    • 提供者:tan
  1. 16b20b_Decoder

    0下载:
  2. VHDL实现的16B/20B解码器。包含两个8B/10B解码器。采用级联方式实现-VHDL implementation 16B/20B decoder. Contains two 8B/10B decoder. Be achieved by cascading
  3. 所属分类:Compiler program

    • 发布日期:2017-04-05
    • 文件大小:32085
    • 提供者:Kevin
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. pcm

    2下载:
  2. 利用VHDL语言和模块化设计实现PCM编译码的功能,整体工程和代码全有。-PCM encode and decode by VHDL in Quartus2.
  3. 所属分类:Compiler program

    • 发布日期:2014-05-24
    • 文件大小:836045
    • 提供者:nancy
  1. yima

    0下载:
  2. vhdl译码的部分源代码,取自硕士学位论文,希望对大家有用。-vhdl coding parts of the source code, taken from the master' s degree thesis, we hope be useful.
  3. 所属分类:Compiler program

    • 发布日期:2017-03-28
    • 文件大小:3411
    • 提供者:liuzhiyu
  1. VHDL语言实现74LS138的功能

    0下载:
  2. VHDL语言实现74LS138的功能,简单易懂,方便好学。给大家以参考-The VHDL language 74LS138 functionality, easy to understand, easy studious. For everyone to reference
  3. 所属分类:编译器/词法分析

    • 发布日期:2016-01-26
    • 文件大小:12288
    • 提供者:李兆平
« 12 3 »
搜珍网 www.dssz.com