CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 搜索资源 - vhdl

搜索资源列表

  1. weisuiji

    0下载:
  2. 伪随机比特发生器, VHdL写的伪随机比特发生器-Pseudo-random bit generator, pseudo-random bit generator, VHdL written in pseudo-random bit generator,
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:952
    • 提供者:阿道夫
  1. crc8

    1下载:
  2. 8bit CRC码生成器vhdl 代码,延时一个周期CRC码有效。-8bit crc code genergator,after delay one clock,crc code valid
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:770
    • 提供者:luoda
  1. vhdl

    0下载:
  2. 数字信号处理的FPGA实现(Uwe Meyer-Baese)书中例子的VHDL代码-FPGA implementation of digital signal processing (Uwe Meyer-Baese) examples of VHDL code for the book
  3. 所属分类:source in ebook

    • 发布日期:2017-04-06
    • 文件大小:251478
    • 提供者:lin
  1. ppm

    0下载:
  2. 一种基于数据的ppm编码的vhdl实现,包含测试文件-Ppm based on the data encoded in vhdl implementation, including the test file
  3. 所属分类:source in ebook

    • 发布日期:2017-03-30
    • 文件大小:1203
    • 提供者:王佳
  1. l7

    0下载:
  2. 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A / D converter, SRAM6264 memory for data storage after sampling, DAC0832 chip as a signal of D
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:148819
    • 提供者:统一
  1. 00

    0下载:
  2. 利用EDA技术与VHDL语言设计音乐硬件演奏电路-The use of EDA hardware technology design music performance circuit
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:22652
    • 提供者:何中勋
  1. VHDL_nocem

    0下载:
  2. 一个用VHDL写的NoC仿真工具,证实有效。-Written in a NoC using VHDL simulation tools, proven to be effective.
  3. 所属分类:MPI

    • 发布日期:2017-04-07
    • 文件大小:60016
    • 提供者:DYP
  1. POC

    0下载:
  2. CUP 与打印机的接口POC,主要实现了握手信号的交流和数据的传输。程序运用了语言VHDL-CUP and the printer interface POC, mainly realized exchange handshake signals and data transmission. Program used the VHDL language
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:119138
    • 提供者:TY
  1. plj

    0下载:
  2. 这是一个频率计的源代码,用的是VHDL语言设计的,能够测量0-20KHZ的频率!-This is a frequency meter of the source code, using the VHDL language design, can measure 0-20KHZ frequency!
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:4586450
    • 提供者:biao
  1. ad

    0下载:
  2. 用VHDL实现AD转换,并有详细注释。外加仿真波形-AD Converter with VHDL implementation, and detailed notes. Plus simulation waveform
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:41755
    • 提供者:lin
  1. 16b20b_Decoder

    0下载:
  2. VHDL实现的16B/20B解码器。包含两个8B/10B解码器。采用级联方式实现-VHDL implementation 16B/20B decoder. Contains two 8B/10B decoder. Be achieved by cascading
  3. 所属分类:Compiler program

    • 发布日期:2017-04-05
    • 文件大小:32085
    • 提供者:Kevin
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. dianziqin

    0下载:
  2. 用VHDL语言设计了电子琴,通过按键控制声响-design dianziqin by VHDL
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:456964
    • 提供者:shengpan
  1. pcm

    2下载:
  2. 利用VHDL语言和模块化设计实现PCM编译码的功能,整体工程和代码全有。-PCM encode and decode by VHDL in Quartus2.
  3. 所属分类:Compiler program

    • 发布日期:2014-05-24
    • 文件大小:836045
    • 提供者:nancy
  1. yima

    0下载:
  2. vhdl译码的部分源代码,取自硕士学位论文,希望对大家有用。-vhdl coding parts of the source code, taken from the master' s degree thesis, we hope be useful.
  3. 所属分类:Compiler program

    • 发布日期:2017-03-28
    • 文件大小:3411
    • 提供者:liuzhiyu
  1. wannianli

    0下载:
  2. 该万年历能正常实现年、月、日和时、分、秒的显示功能。并且能通过键1来进行两者之间的切换。键7-键2可以分别矫正年月日时分秒,还具有分辨闰年跟平年的功能-vhdl
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:63365
    • 提供者:王方明
  1. NCO

    0下载:
  2. 用VHDL语言编写的振荡器,可以产生正余弦信号-VHDL language with the oscillator, can generate sine and cosine signals
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:1519
    • 提供者:龙兰飞
  1. eda

    0下载:
  2. 利用vhdl设计fir滤波器,有完整程序, 包含加法器,乘法器。-Design using vhdl fir filter, a complete program, including adders, multipliers.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:186750
    • 提供者:黄林
  1. fft

    0下载:
  2. vhdl code and verilog code for an 128 point fft processor which has to be executed in xlinx software as needed for course project
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:364171
    • 提供者:tejaswini
  1. VHDL.PPT

    0下载:
  2. 电子科技大学的VHDL语言课件ppt,适合初学者-University of Electronic Science and Technology VHDL language courseware ppt, suitable for beginners
  3. 所属分类:source in ebook

    • 发布日期:2017-05-21
    • 文件大小:6323319
    • 提供者:青柠
« 1 2 34 5 6 7 8 9 10 ... 37 »
搜珍网 www.dssz.com